76 results on '"Fabrice Nemouchi"'
Search Results
2. Integration, BEOL, and Thermal Stress Impact on CMOS-Compatible Titanium-Based Contacts for III–V Devices on a 300-mm Platform
- Author
-
K. Dabertrand, Patrice Gergaud, Magali Gregoire, Ph. Rodriguez, Quentin Rafhay, F. Boyer, N. Coudurier, Fabrice Nemouchi, and Christophe Jany
- Subjects
010302 applied physics ,Optical amplifier ,Materials science ,Silicon ,Annealing (metallurgy) ,business.industry ,chemistry.chemical_element ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Stress (mechanics) ,Semiconductor ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Process integration ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Titanium - Abstract
Titanium-based contacts are envisioned for the integration of III–V device contacts on a 300-mm platform, such as photodetectors, semiconductor optical amplifiers (SOAs), and III–V silicon hybrid lasers. For the first time, the impact of the thermal budgets of process integration, back-end of line (BEOL), and long-term thermal stress on the electrical characteristics of the Ti/p-In0.53Ga0.47As and Ti/n-InP contacts has been investigated. Additional physical characterizations have been used to supplement the electrical properties on both systems. Results have indicated that, given a thermal budget between 350 °C and 450 °C during 60 s right after metal deposition, 1) Ti as a contact metal has led to contact resistivity in low $10^{-{5}}\,\,\Omega \cdot \text {cm}^{{2}}$ for p-contacts and in mid $10^{-{5}}\,\,\Omega \cdot \text {cm}^{{2}}$ for n-contacts, which is in accordance with the device requirements; and 2) process integration, BEOL, and long-term thermal stress will not induce any change of the electrical properties. In the scope of III–V silicon hybrid laser contact integration, Ti has hence been evidenced as a suitable candidate for both p- and n-contacts.
- Published
- 2020
- Full Text
- View/download PDF
3. Hybrid III–V/Silicon Technology for Laser Integration on a 200-mm Fully CMOS-Compatible Silicon Photonics Platform
- Author
-
Elodie Ghegin, Florent Franchin, Antoine Schembri, Lois Sanchez, Philippe Rodriguez, Pierre Brianceau, Fabrice Nemouchi, Laetitia Adelmini, Marie-Christine Roure, David Carrara, Pierrick Cavalie, Elisa Vermande, Karim Hassan, Bertrand Szelag, Christophe Jany, and Segolene Olivier
- Subjects
Materials science ,Silicon photonics ,Silicon ,Equivalent series resistance ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,Distributed Bragg reflector ,Atomic and Molecular Physics, and Optics ,Semiconductor laser theory ,020210 optoelectronics & photonics ,chemistry ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,Photonics ,business ,Ohmic contact - Abstract
In this paper, we present the hybrid III–V/Si photonic platform developed in CEA-LETI. The overall integration is done in a fully CMOS compatible 200-mm technology, scalable to 300-mm wafers, leveraging the large-scale integration capabilities of silicon photonics. III–V material is integrated on top of a mature silicon photonic front-end wafer through direct molecular bonding enabling the monolithic integration of light sources. DFB and distributed Bragg reflector (DBR) laser reference designs are used as test vehicles for the process validation. A modular approach is used in order to minimize the impact on the already qualified silicon-based devices. Collective III–V die bonding is proposed in this platform. CMOS compatible metallizations are used to form ohmic contact on n-InP and P-InGaAs leading to contact resistivity in the range of 10−6 Ω·cm². A planarized two-metal-level BEOL is used to connect the device, leading to a drastic reduction of series resistance. Finally, the functionality of both types of lasers is demonstrated with SMSR up to 50 dB and maximum output power of 5 mW.
- Published
- 2019
- Full Text
- View/download PDF
4. Study of SiO2 on Ni and Ti Silicide After Different Oxidation Techniques Investigated by XRR, SEM and Ellipsometry
- Author
-
Fabrice Nemouchi, Christophe Licitra, Md. Khalilur Rahman, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), and CEA-Leti, Minatec
- Subjects
In situ morphology ,Materials science ,Scanning electron microscope ,020209 energy ,chemistry.chemical_element ,Silicidation ,02 engineering and technology ,01 natural sciences ,Inorganic Chemistry ,[SPI]Engineering Sciences [physics] ,chemistry.chemical_compound ,Ellipsometry ,Oxidation ,0103 physical sciences ,Silicide ,0202 electrical engineering, electronic engineering, information engineering ,Materials Chemistry ,Surface roughness ,Wafer ,Wet oxidation ,In situ X-ray reflectivity ,010302 applied physics ,Metals and Alloys ,X-ray reflectivity ,chemistry ,Chemical engineering ,In situ ellipsometry ,Titanium - Abstract
International audience; Although silicide oxidation was studied 20years ago, the interest in obtaining a robust process for new applications remains significant today. Indeed, the new architectural development process requires dense and narrow spaces. In this study, attempts were made to bury a silicide layer under a protective silica layer in order to keep the physical and electrical properties of the silicide constant after oxidation. Thus, we first tried to reproduce and study these conditions and, once acquired, aimed to decrease the oxidation temperature in order to meet industrial requirements. Titanium (Ti) and nickel (Ni) were chosen for their metallurgical interest and their integration capability in devices. Four different groups of silicide (TiSi, TiSi2, Ni2Si, NiSi) were targeted by adjusting the temperature. Then, all of the silicides, including one pure Si wafer, were oxidized using dry, wet and plasma techniques. In situ scanning electron microscopy, spectroscopic ellipsometry and X-ray reflectivity measurements were carried out simultaneously before and after oxidation of the silicide to characterize the SiO2 and silicide morphology, thickness and density. We found that after 800 degrees C dry oxidation, Ti silicide was totally oxidized, which was an unexpected result. But, Ni silicide showed an agglomeration phenomenon after 500 degrees C and 800 degrees C dry oxidation. Although, after wet oxidation, it was confirmed that the highest SiO2 thickness formed, the NiSi surface roughness was higher. In the case of plasma oxidation, we obtained a thin layer (approximate to 1nm) of SiO2 on NiSi with an extremely smooth surface.
- Published
- 2019
- Full Text
- View/download PDF
5. Influence of substrate-induced thermal stress on the superconducting properties of V 3 Si thin films
- Author
-
F. Lefloch, F. Gustavo, Tomas Kubart, Patrice Gergaud, T. D. Vethaak, Shi-Li Zhang, Fabrice Nemouchi, T. Farjot, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de Transport Electronique Quantique et Supraconductivité (LaTEQS), PHotonique, ELectronique et Ingénierie QuantiqueS (PHELIQS), Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes (UGA)-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes (UGA), and ANR-19-CE47-0010,SUNISIDEUP,Dispositifs Supraconducteurs en Silicium et Germanium 'haut de gamme'(2019)
- Subjects
Materials science ,Silicon ,Annealing (metallurgy) ,General Physics and Astronomy ,chemistry.chemical_element ,FOS: Physical sciences ,02 engineering and technology ,Substrate (electronics) ,01 natural sciences ,Thermal expansion ,Superconductivity (cond-mat.supr-con) ,chemistry.chemical_compound ,Rapid thermal processing ,0103 physical sciences ,Silicide ,Stress relaxation ,Thin film ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS ,[PHYS.COND.CM-MSQHE]Physics [physics]/Condensed Matter [cond-mat]/Mesoscopic Systems and Quantum Hall Effect [cond-mat.mes-hall] ,010302 applied physics ,Condensed matter physics ,Condensed Matter - Superconductivity ,021001 nanoscience & nanotechnology ,[PHYS.COND.CM-S]Physics [physics]/Condensed Matter [cond-mat]/Superconductivity [cond-mat.supr-con] ,chemistry ,0210 nano-technology - Abstract
Thin films of superconducting V$_3$Si were prepared by means of RF sputtering from a compound V$_3$Si target at room temperature onto sapphire and oxide-coated silicon wafers, followed by rapid thermal processing under secondary vacuum. The superconducting properties of the films thus produced are found to improve with annealing temperature, which is ascribed to a reduction of defects in the polycrystalline layer. Critical temperatures ($T_\text{c}$) up to $15.3\,$K were demonstrated after thermal processing, compared to less than $1\,$K after deposition. The $T_\text{c}$ was found to always be lower on the silicon wafers, by on average $1.9(3)\,$K for the annealed samples. This difference, as well as a broadening of the superconducting transitions, is nearly independent of the annealing conditions. In-situ XRD measurements reveal that the silicide layer becomes strained upon heating due to a mismatch between the thermal expansion of the substrate and that of V$_3$Si. Taking into account the volume reduction due to crystallization, this mismatch is initially larger on sapphire, though stress relaxation allows the silicide layer to be in a relatively unstrained state after cooling. On oxidized silicon however, no clear evidence of relaxation upon cooling is observed, and the V$_3$Si ends up with an out-of-plane strain of 0.3\% at room temperature. This strain increases as the sample is cooled down to cryogenic temperatures, though the deformation of the polycrystalline layer is expected to be highly inhomogeneous. Taking into account also the reported occurrence of a Martensitic transition just above the critical temperature, this extrapolated strain distribution is found to closely match an existing model of the strain dependence of A-15 superconducting compounds., 7 pages, 5 figures
- Published
- 2021
- Full Text
- View/download PDF
6. Superconducting Polycrystalline Silicon Layer Obtained by Boron Implantation and Nanosecond Laser Annealing
- Author
-
Sebastien Kerdiles, F. Lefloch, Christophe Marcenat, P. Acosta Alba, Richard Daubriac, S. Lequien, T. D. Vethaak, Fabrice Nemouchi, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de Transport Electronique Quantique et Supraconductivité (LaTEQS), PHotonique, ELectronique et Ingénierie QuantiqueS (PHELIQS), Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes (UGA)-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes (UGA)
- Subjects
Materials science ,Annealing (metallurgy) ,chemistry.chemical_element ,02 engineering and technology ,engineering.material ,01 natural sciences ,Condensed Matter::Materials Science ,Condensed Matter::Superconductivity ,0103 physical sciences ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,010306 general physics ,Boron ,ComputingMilieux_MISCELLANEOUS ,[PHYS.COND.CM-MSQHE]Physics [physics]/Condensed Matter [cond-mat]/Mesoscopic Systems and Quantum Hall Effect [cond-mat.mes-hall] ,Superconductivity ,business.industry ,021001 nanoscience & nanotechnology ,Electronic, Optical and Magnetic Materials ,[PHYS.COND.CM-S]Physics [physics]/Condensed Matter [cond-mat]/Superconductivity [cond-mat.supr-con] ,Polycrystalline silicon ,chemistry ,engineering ,Optoelectronics ,Nanosecond laser ,0210 nano-technology ,business ,Layer (electronics) - Abstract
In this work, we report on the material properties of superconducting heavily boron-doped polycrystalline Silicon-On-Insulator (SOI) thin layers fabricated by pulsed laser induced recrystallization under experimental conditions compatible with high volume CMOS integration. This approach combines boron implantation and ultra-violet nanosecond laser annealing (UV-NLA) to reach maximum dopant activation by exceeding boron solid solubility in silicon. For our process conditions, material characterizations revealed five laser annealing regimes, including the SOI full-melt, which leads to the formation of superconducting polycrystalline layers. The average critical temperature was found to be around 170 mK, neither influenced by energy density nor the number of laser pulses. In addition, thanks to low temperature measurements coupled with magnetic field variations, we highlighted a type II superconductor behavior due to strong impurity effect. The deducted average effective coherence length of hole pairs in our layers was estimated around 85 nm.
- Published
- 2021
- Full Text
- View/download PDF
7. Nickel-based CMOS-compatible contacts on p-In0.53Ga0.47 As for III-V / silicon hybrid lasers
- Author
-
Magali Gregoire, N. Coudurier, Fabrice Nemouchi, K. Dabertrand, Christophe Jany, Patrice Gergaud, F. Boyer, Ph. Rodriguez, and Quentin Rafhay
- Subjects
Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Conductivity ,Laser ,law.invention ,Nickel ,chemistry ,Rapid thermal processing ,law ,Process integration ,Thermal ,Optoelectronics ,Thin film ,business - Abstract
Nickel-based metallization are envisioned for the p-contact integration of III-V / silicon hybrid lasers on a 300 mm platform. The electrical and physical characteristics of Ni 0.9 Pt 0.1 and Ni thin films on In 0.53 Ga 0.47 As layers have been studied. For the first time, the impact of the thermal budget of the process integration and laser operations on the contact integrity have been investigated. Results have shown that in the case of the Ni 0.9 Pt 0.1 / p-In 0.53 Ga 0.47 As system, with a rapid thermal annealing between 350 and 450 °C for 60 s applied after metal deposition, promising contact resistivities have been extracted (low 10–5 - high 10–6 Ω.cm2) along with a good electrical stability after process integration and laser operations. The Ni / p-In 0.53 Ga 0.47 As system have exhibited lower contact resistivities (low 10–6 - high 10–7 Ω.cm2) granted a rapid thermal annealing between 400 and 500 °C after metal deposition. A strong electrical stability after integration steps and laser operations has also been evidenced. Results suggest that the Ni / p-In 0.53 Ga 0.47 As system constitutes the most promising option for p-contact integration.
- Published
- 2020
- Full Text
- View/download PDF
8. Superconducting Poly-SOI Layers by Boron Ion Implantation and UV Nanosecond Laser Annealing
- Author
-
Richard Daubriac, Pablo Acosta Alba, Stéphane Lequien, Christophe Marcenat, Tom Doekle Vethaak, Fabrice Nemouchi, François Lefloch, and Sébastien Kerdilès
- Published
- 2020
- Full Text
- View/download PDF
9. Study of the Ti/InGaAs solid-state reactions: Phase formation sequence and diffusion schemes
- Author
-
Ph. Rodriguez, E. Ghegin, F. Boyer, Patrice Gergaud, Saddek Bensalem, János L. Lábár, Miklós Menyhárd, Fabrice Nemouchi, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), and STMicroelectronics
- Subjects
010302 applied physics ,[PHYS]Physics [physics] ,Auger electron spectroscopy ,Materials science ,Diffusion barrier ,Mechanical Engineering ,Diffusion ,Analytical chemistry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Amorphous solid ,Mechanics of Materials ,Transmission electron microscopy ,Phase (matter) ,0103 physical sciences ,General Materials Science ,Crystallite ,Thin film ,0210 nano-technology - Abstract
The development of Complementary Metal Oxide Semiconductor (CMOS)-compatible contact technology on III–V materials based on Ti for electronics or photonics applications was studied. In this framework, solid-state reactions between Ti thin films (20 nm) and In0.53Ga0.47As layers grown on InP substrates were studied from the as-deposited state up to 550 °C using a combination of advanced X-ray diffraction (in-plane reciprocal space mapping), Auger electron spectroscopy and transmission electron microscopy analyses. The phase formation sequence was solved. At low temperature, an amorphous Ti–Ga–As intermixing layer coexists with the Ti film. As of 250 °C, the first crystalline phase to form is Ti 2 Ga 3 . At 300 °C, a new crystalline phase appears, namely TiAs 2 . On the other hand, TiAs and metallic In form at 350 °C and Ti is completely consumed between 450 and 500 °C. The diffusion of the various species lead to the formation of a non-nominal Ga-rich In x Ga 1−x As layer and at 550 °C to the formation of polycrystalline GaAs. Ti was found to be the main diffusing species at low temperature whereas III and V elements are the dominant diffusing species at higher temperatures. The nature of the phases formed above and below the original Ti/InGaAs interface might explain the In accumulation at the interface, the TiAs phase acting as a diffusion barrier.
- Published
- 2020
- Full Text
- View/download PDF
10. Hybrid III–V/silicon CMOS-compatible technology for laser integration on 200mm and 300mm platforms (Conference Presentation)
- Author
-
Pierrick Cavalie, Philippe Rodriguez, Antoine Schembri, Cecilia Dupre, Karim Hassan, Laetitia Adelmini, Christophe Jany, Pierre Brianceau, Marie-Christine Roure, Bertrand Szelag, E. Ghegin, Segolene Olivier, Loic Sanchez, David Carrara, Florent Franchin, and Fabrice Nemouchi
- Subjects
Materials science ,Silicon photonics ,Silicon ,business.industry ,Wafer bonding ,chemistry.chemical_element ,Distributed Bragg reflector ,Semiconductor laser theory ,chemistry ,Optoelectronics ,Wafer ,Dry etching ,business ,Ohmic contact - Abstract
We report on the CMOS-compatible hybrid III-V/Silicon platform developed in CEA-LETI. In order to follow the large-scale integration capabilities of silicon photonics, already available worldwide in 200mm or 300mm through different foundries, the development of CMOS-compatible process for the III-V integration is of major interest. The technological developments involve not only the hybridization on top of a mature silicon photonic front-end wafer through direct molecular bonding but the patterning of the III-V epitaxy layer, low access resistance contacts, as well as planar multilevel BEOL must also be investigated and optimized. Test vehicles for the process validation based on either distributed feedback (DFB) or distributed Bragg reflector (DBR) laser cavities were designed. A modular approach is proposed in order to minimize the impact on the already qualified silicon photonics devices. Next, a collective III–V die bonding and processing have been successfully developed in this platform. The collective bonding, based on a flexible template holder, allows for large scale die to wafer transfer in both 200 and 300mm. After the III-V substrate removal and III-V patterning relying on optimized dry etching processes, CMOS compatible metallization’s are used to realize ohmic contact on n-InP and P-InGaAs leading to contact resistivity in the range of 10−6 Ω·cm². While first demonstrations have been obtained through wafer bonding, the fabrication process was subsequently validated on III-V dies bonding with a fabrication yield of Fabry-Perot lasers of 97% in 200mm. A planarized two-metal-level BEOL was used to connect the devices, leading to a drastic reduction of series resistance between 5.5 and 7 Ω. Finally, the functionality of DFB and DBR lasers is demonstrated with SMSR up to 50 dB and maximum output power of 3 mW in CW. The overall technological features are expected improve the efficiency, density, and cost of silicon photonics PICs.
- Published
- 2020
- Full Text
- View/download PDF
11. Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
- Author
-
B.-N. Bozon, J.-Ph. Reynard, Y. Le Friec, Sylvie Favier, Yann Mazel, K. Dabertrand, Patrice Gergaud, R. Famulok, Ph. Rodriguez, Fabrice Nemouchi, C. Jahan, Bernard Previtali, F. Boyer, Département Intégration Hétérogène sur Silicium (DIHS), Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics, Applied Materials France, ANR-11-EQPX-0010,CRGF,Lignes synchrotron françaises à l'ESRF(2011), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010), and ANR: ANR-10-AIRT-05,Programme Investissements d’Avenir
- Subjects
Materials science ,Interconnects ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,Tungsten ,01 natural sciences ,[SPI.MAT]Engineering Sciences [physics]/Materials ,Atomic layer deposition ,Plasma-enhanced chemical vapor deposition ,Low resistance ,Contact ,0103 physical sciences ,General Materials Science ,Thin film ,Composite material ,010302 applied physics ,Mechanical Engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,[SPI.TRON]Engineering Sciences [physics]/Electronics ,X-ray reflectivity ,Tungsten film ,chemistry ,Mechanics of Materials ,PCRAM ,Liner ,0210 nano-technology ,Layer (electronics) - Abstract
International audience; Using a metal-organic tungsten based precursor, a fluorine-free tungsten thin film has been obtained. The process deposition recipe includes a plasma-enhanced CVD (PECVD) step and atomic layer deposition (ALD) cycles. A set of physicochemical characterizations including X-ray reflectivity (XRR), in-plane X-ray diffraction (XRD), wavelength dispersive X-ray fluorescence (WDXRF), plasma profiling time of flight mass spectrometry (PPTOFMS) and microscope observations has been realized in order to study the W thin film structure and properties. The film is perfectly conformal whatever the structure size investigated (from tens of nanometers to micrometers wide). It was also highlighted that the F-free W film exhibits the lowest electrical resistivity phase (α-W) but is not pure. Indeed, in addition to a top surface oxidation, a layer located at the W film / substrate interface is present. This interface layer (IL) contains impurities, including carbon and oxygen, due to ligand decomposition. This IL might be deposited during the soak step or during the PECVD step. The W liner with thicknesses ranging from 3 to 4 nm has been implemented on PCRAM structures in order to evaluate its impact on contact plug resistivity. First electrical results are promising and demonstrate the interest of using a F-free low resistance W liner. At the aspect ratio studied, the gain in terms of contact plug resistivity is about 20% compared to the process of reference using a TiN liner. Modeling shows that this benefit is mainly due to the reduction of interface resistances.
- Published
- 2017
- Full Text
- View/download PDF
12. CMOS-Compatible Contacts to n-InP
- Author
-
Isabelle Sagnes, Jeremy Da Fonseca, E. Ghegin, Christophe Jany, Mattia Pasquali, Vincent Delaye, Philippe Rodriguez, Tiphaine Card, János L. Lábár, and Fabrice Nemouchi
- Subjects
010302 applied physics ,Materials science ,Silicon photonics ,business.industry ,Context (language use) ,02 engineering and technology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,020210 optoelectronics & photonics ,Semiconductor ,Electrical resistivity and conductivity ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Ohmic contact ,Cmos compatible - Abstract
In the context of the development of silicon photonics, various Ti- and Ni-based alloyed metallizations have been investigated for the purpose of forming low resistivity and Si CMOS-compatible contacts to n-InP. The innovative Ni2P metallization combined with an in situ Ar+ preclean represents the most suitable available solution for the formation of ohmic contacts with a specific contact resistivity as low as $4.3 \times 10^{-6}~\Omega ^{2}$ on such a semiconductor. The latter additionally presents the advantage of being stable at least up to 350 °C and could therefore withstand additional integration processes conducted at this temperature.
- Published
- 2017
- Full Text
- View/download PDF
13. Technological enhancers effect on Ni 0.9 Co 0.1 silicide stability for 3D sequential integration
- Author
-
Magali Gregoire, Fabrice Nemouchi, Patrice Gergaud, F. Deprat, Claire Fenouillet-Beranger, Perrine Batude, D. Barge, Maud Vinet, Philippe Rodriguez, N. Rambal, and Sylvain Joblot
- Subjects
010302 applied physics ,Materials science ,business.industry ,Transistor ,Stacking ,Nanotechnology ,Context (language use) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Salicide ,01 natural sciences ,PMOS logic ,law.invention ,chemistry.chemical_compound ,CMOS ,chemistry ,law ,0103 physical sciences ,Silicide ,Optoelectronics ,Wafer ,0210 nano-technology ,business - Abstract
3D sequential integration is a promising alternative to conventional scaling down approach: by stacking transistors level on top of each other, benefits on device density and performance are achieved. However, although the thermal processing of top transistors is currently restricted in order to avoid bottom CMOS degradation, it has been highlighted that Ni0.80Pt0.10 silicide source/drain (S&D) contact remains the most sensitive element to the thermal budget, especially on raised Si0.7Ge0.3:B S&D for pMOS transistors. In this context, a complete and systematic study on self-aligned silicide (SALICIDE) process has been proposed: alternative metallization as well as source and drain surface pre-treatments have been carried out. Indeed, a novel Ni-based silicide, the Ni0.9Co0.1 provides a better stability on unpatterned 300 mm wafers. This stability has been further improved when combined with epitaxial silicon capping layer (Si-Cap) and Si0.7Ge0.3:B S&D pre-amorphization implant (PAI) using Ge beam. For the first time, a successful Ni0.9Co0.1 SALICIDE implementation has been demonstrated on pMOS planar FDSOI transistor with both PAI and Si-Cap. Moreover, the presence of Si-Cap contributes to reduce silicide roughness and limits Ge partition that damage Si0.7Ge0.3:B S&D. (© 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)
- Published
- 2016
- Full Text
- View/download PDF
14. Building blocks of silicon photonics
- Author
-
Sebastien Cremer, Eric Cassan, Christophe Jany, Joan Manel Ramirez, F. Bœuf, Léopold Virot, Charles Baudot, Guillaume Marcaud, Loic Sanchez, Pedro Damas, Vladyslav Vakarin, Ismael Charlet, Phuong T. Do, Samuel Serna, Christian Lafforgue, Xavier Le Roux, Diego Perez-Galacho, Lucas Deniel, Elena Duran Valdeiglesias, Jianhao Zhang, Fabrice Nemouchi, Karim Hassan, Bertrand Szelag, Franck Fournel, Delphine Marris-Morini, Dorian Doser, Stephane Monfray, Mathias Berciano, Badhise Ben Bakir, Laurent Vivien, E. Ghegin, Maurin Douix, Sylvain Guerber, J. Durel, Daniel Benedikovic, Philippe Rodriguez, Carlos Alonso-Ramos, and Pierre Brianceau
- Subjects
Focus (computing) ,Silicon photonics ,Computer science ,Optical communication ,Silicon chip ,Quantum information ,Engineering physics - Abstract
Silicon photonics has generated an amazing interest for many years to address the challenges of numerous applications including optical communications, sensing, and quantum information to name few. A review of the main building blocks to emit, guide, modulate, and detect light on silicon chip is described and a special focus is given on the large possibilities offered by the hybrid integration on silicon photonics platform for the development of reliable and efficient on-chip functionalities.
- Published
- 2019
- Full Text
- View/download PDF
15. Development of a CMOS-compatible contact technology for III–V materials and Si photonics
- Author
-
Fabrice Nemouchi, Philippe Rodriguez, N. Coudurier, Christophe Jany, S. Zhiou, Salma bensalem, Bertrand Szelag, F. Boyer, E. Ghegin, Patrice Gergaud, and Laura Toselli
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,General Engineering ,General Physics and Astronomy ,Laser ,01 natural sciences ,Line (electrical engineering) ,law.invention ,Surface preparation ,law ,0103 physical sciences ,Optoelectronics ,Photonics ,business ,Ohmic contact ,Cmos compatible - Abstract
In this progress review, an overview of the CMOS-compatible contact technology developed at the CEA-Leti for Si photonics applications is proposed. The elaboration of III–V/Si hybrid lasers implies the development of ohmic contacts on n-InP and p-InGaAs III–V materials. In this way, a contact technology fully compatible with a Si-Fab line was developed. The results presented in this manuscript cover a wide scope: from surface preparation and solid-state reaction to electrical results and integration guidelines. The metallurgy of several systems including Ni/InGaAs, Ni/InP, Ti/InGaAs and Ti/InP was studied. The direct metallization of III–V materials using Ni2P was also introduced. Most of the studied metallizations provided efficient solutions for achieving ohmic contacts on n-InP and p-InGaAs. Finally, the contact technology developed in the framework of this study was successfully integrated on 200 mm CMOS-compatible III–V/Si hybrid lasers.
- Published
- 2020
- Full Text
- View/download PDF
16. Redistribution of phosphorus during NiPtSi formation on in-situ doped Si
- Author
-
M. Lemang, Ph. Rodriguez, Magali Gregoire, Marc Juhel, Patrice Gergaud, B. Saidi, Dominique Mangelinck, Fabrice Nemouchi, STMicroelectronics, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU), and Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,In situ ,Diffraction ,Materials science ,Annealing (metallurgy) ,Doping ,Analytical chemistry ,02 engineering and technology ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Silicide ,Grain boundary ,Redistribution (chemistry) ,Electrical and Electronic Engineering ,Thin film ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
This study focuses on silicide formation on phosphorus in-situ doped samples, the phosphorus diffusion and its distribution during the solid-state reaction. The silicidation is achieved with a 16 nm thin film of Ni0.9Pt0.1 followed by a two steps annealing process using rapid thermal annealing, selective etching and dynamic surface annealing. Silicide formation is investigated thanks to in-situ X-ray diffraction and X-ray reflectivity, while the phosphorus concentration profiles after silicidation are obtained by Time-of-Flight Secondary Ion Mass Spectroscopy. Based on these profiles, simulation of the phosphorus redistribution is achieved. The latter is linked to a fast diffusion in the silicide and at its grain boundaries. This feature is put forward to explain how doping may influence the phase sequence of silicide formation.
- Published
- 2018
- Full Text
- View/download PDF
17. Phase formation sequence and cobalt behavior in the Ni0.9 Co0.1 system during the thin film solid-state formation
- Author
-
Patrice Gergaud, F. Deprat, Claire Fenouillet-Beranger, S. Favier, Fabrice Nemouchi, Ph. Rodriguez, Dominique Mangelinck, S. Zhiou, Ting Luo, C. Sese, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,01 natural sciences ,chemistry.chemical_compound ,Phase (matter) ,0103 physical sciences ,Silicide ,Thermal stability ,Electrical and Electronic Engineering ,Thin film ,Sheet resistance ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Chemical engineering ,0210 nano-technology ,Cobalt - Abstract
In this work, the solid-state reaction between a 7 nm thick Ni0.9Co0.1 film and a silicon substrate has been studied. By combining various characterization methods (e.g. sheet resistance measurement, X-ray reflectivity, X-ray diffraction), a comprehensive phase sequence of the NiCo silicide formation has been proposed. At low temperature, we observed the formation of metal-rich Ni2Si-like phases: δ-(NiCo)2Si and θ-(NiCo)2Si. Contrary to Ni0.9Pt0.1 based silicides, the δ-Ni2Si phase appears before the θ-Ni2Si one. Beyond 320 °C, the (NiCo)Si monosilicide formation is initiated and this latter is complete at 400 °C. The presence of Co strongly decreases the NiSi2 formation temperature. This early formation of disilicide allows avoiding film agglomeration and enhances the thermal stability of NiSi silicide. Complementary studies using wavelength dispersive X-ray fluorescence allowed studying the cobalt behavior and highlighted the formation of a Co composition gradient into the metal-rich silicide phases at relatively low temperature (220–260 °C).
- Published
- 2018
- Full Text
- View/download PDF
18. CMOS-Compatible Contacts for Si Photonics from Solid-State Reaction to Laser Integration
- Author
-
Patrice Gergaud, Laura Toselli, M. Pasquali, Christophe Jany, E. Ghegin, Ph. Rodriguez, Saddek Bensalem, S. Favier, Fabrice Nemouchi, Bertrand Szelag, and S. Zhiou
- Subjects
010302 applied physics ,Materials science ,business.industry ,Solid-state ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,Copper ,law.invention ,chemistry ,Surface preparation ,law ,Plating ,0103 physical sciences ,Optoelectronics ,Photonics ,0210 nano-technology ,business ,Cmos compatible - Abstract
From surface preparation and solid-state reaction to laser integration, a short overview of the CMOS-compatible contacts developed in our group on n-InP and p-InGaAs for Si photonic applications is proposed.
- Published
- 2018
- Full Text
- View/download PDF
19. 200mm full CMOS-compatible hybrid III-V/Si laser process integration on a mature silicon-photonic platform (Conference Presentation)
- Author
-
Romain Crochemore, Karim Hassan, Sebastien Dominguez, Fabrice Nemouchi, Laetitia Adelmini, Philippe Rodriguez, Christophe Jany, E. Ghegin, Mélisa Brihoum, Antoine Schembri, Olivier Pesenti, Brigitte Montmayeul, Salma bensalem, Bertrand Szelag, Loic Sanchez, Marie-Christine Roure, Elisa Vermande, Toufiq Bria, and Pierre Brianceau
- Subjects
Silicon photonics ,Materials science ,Silicon ,business.industry ,Copper interconnect ,chemistry.chemical_element ,Distributed Bragg reflector ,chemistry ,CMOS ,Process integration ,Optoelectronics ,Wafer ,Photonics ,business - Abstract
Silicon photonic platforms are becoming more and more mature with competitive devices suitable for increasing needs of HPC (High Performance Computing) systems and datacenters. Compared to bulk III-V technologies, Si photonic technologies are suffering from the lack of integrated light source. Several works have been done in the past years to integrate laser on silicon using III-V direct bonding on top of patterned silicon. These demonstrations were using a CMOS compatible process for the silicon part but all the process steps following the introduction of the III-V material were done with small wafer diameter III-V fabrication lines. With such integrations, the cost advantage of silicon photonics based on the use of CMOS platforms and large wafer format is no more valid. In this paper we present the integration of a hybrid III-V/Si laser using a fully CMOS compatible 200mm technology. The laser is integrated in a mature photonic platform. The additional process modules required for this integration will be deeply described. These modules are localized silicon thickening using damascene process, Bragg reflector patterning with DUV lithography, III-V patterning and ohmic contact formation with no lift-off and without noble metal. This integration is compatible with a multi metal levels planar BEOL, mandatory for photonic circuit design. The first DFB lasers fabricated with this new platform are operating at 1310nm with a threshold current around 60mA, a SMSR larger than 45dB and more than 1.5mW optical power in the output waveguide. New laser designs, specifically adapted for this new process, will be introduced and fabricated.
- Published
- 2018
- Full Text
- View/download PDF
20. CMOS-compatible contact technology for Si photonics
- Author
-
Philippe Rodriguez, Fabrice Nemouchi, and E. Ghegin
- Subjects
010302 applied physics ,Materials science ,business.industry ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,chemistry ,Electrical resistivity and conductivity ,Surface preparation ,law ,0103 physical sciences ,Indium phosphide ,Optoelectronics ,Photonics ,0210 nano-technology ,business ,Indium gallium arsenide ,Cmos compatible - Abstract
In this paper, we present a short overview of the CMOS-compatible contact technology developed in our group on n-InP and p-InGaAs for Si photonic applications. Obtained results cover a wide spectrum: from surface preparation and solid-state reaction to electrical results and laser integration. The metallurgy of several systems including Ni / InGaAs, Ni / InP, Ti / InGaAs and Ti / InP has been studied. Most of the metallizations studied provide efficient solutions for contacting n-InP and p-InGaAs. Finally, guidelines for integrating low resistivity contacts are proposed.
- Published
- 2018
- Full Text
- View/download PDF
21. Redistribution of phosphorus during Ni 0.9 Pt 0.1 -based silicide formation on phosphorus implanted Si substrates
- Author
-
Ph. Rodriguez, Fabrice Nemouchi, M. Lemang, Dominique Mangelinck, Magali Gregoire, Marc Juhel, STMicroelectronics, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
- Subjects
010302 applied physics ,Surface diffusion ,Materials science ,Annealing (metallurgy) ,Analytical chemistry ,General Physics and Astronomy ,02 engineering and technology ,Atom probe ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Secondary ion mass spectrometry ,chemistry.chemical_compound ,Time of flight ,chemistry ,law ,0103 physical sciences ,Silicide ,Grain boundary ,Redistribution (chemistry) ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
Phosphorus diffusion and its distribution during the solid-state reactions between Ni0.9Pt0.1 and implanted Si substrates are studied. Silicidation is achieved through a first rapid thermal annealing followed by a selective etching and a direct surface annealing. The redistribution of phosphorus in silicide layers is investigated after the first annealing for different temperatures and after the second annealing. Phosphorus concentration profiles obtained thanks to time of flight secondary ion mass spectrometry and atom probe tomography characterizations for partial and total reactions of the deposited 7 nm thick Ni0.9Pt0.1 film are presented. Phosphorus segregation is observed at the Ni0.9Pt0.1 surface and at Ni2Si interfaces during Ni2Si formation and at the NiSi surface and the NiSi/Si interface after NiSi formation. The phosphorus is evidenced in low concentrations in the Ni2Si and NiSi layers. Once NiSi is formed, a bump in the phosphorus concentration is highlighted in the NiSi layer before the NiSi/Si interface. Based on these profiles, a model for the phosphorus redistribution is proposed to match this bump to the former Ni2Si/Si interface. It also aims to bind the phosphorus segregation and its low concentration in different silicides to a low solubility of phosphorus in Ni2Si and in NiSi and a fast diffusion of phosphorus at their grain boundaries. This model is also substantiated by a simulation using a finite difference method in one dimension.
- Published
- 2018
- Full Text
- View/download PDF
22. FDSOI bottom MOSFETs stability versus top transistor thermal budget featuring 3D monolithic integration
- Author
-
Perrine Batude, N. Rambal, Magali Gregoire, Maud Vinet, H. Dansas, Claire Fenouillet-Beranger, Fabrice Nemouchi, L. Pasini, D. Lafond, Laurent Brunet, Xavier Garros, Mikael Casse, M. Mellier, L. Tosti, F. Deprat, and Bernard Previtali
- Subjects
Materials science ,Fabrication ,business.industry ,Transistor ,Electrical engineering ,Silicon on insulator ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,law.invention ,PMOS logic ,chemistry.chemical_compound ,chemistry ,law ,Thermal ,Silicide ,Materials Chemistry ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,business ,NMOS logic - Abstract
To set up specification for 3D monolithic integration, for the first time, the thermal stability of state-of-the-art FDSOI (Fully Depleted SOI) transistors electrical performance is quantified. Post fabrication annealings are performed on FDSOI transistors to mimic the thermal budget associated to top layer processing. Degradation of the silicide for thermal treatments beyond 400 °C is identified as the main responsible for performance degradation for PMOS devices. For the NMOS transistors, arsenic (As) and phosphorus (P) dopants deactivation adds up to this effect. By optimizing both the n-type extension implantations and the bottom silicide process, thermal stability of FDSOI can be extended to allow relaxing upwards the thermal budget authorized for top transistors processing.
- Published
- 2015
- Full Text
- View/download PDF
23. Hybrid III-V/Si DFB laser integration on a 220 mm fully CMOS-compatible silionn photonlcsplotform
- Author
-
R. Crochemore, O. Pesenti, A. Schembri, S Domínguez, M. Brihoum, Saddek Bensalem, T. Bria, Loic Sanchez, Karim Hassan, Bertrand Szelag, Christophe Jany, Pierre Brianceau, Ph. Rodriguez, M. C. Roure, Laetitia Adelmini, E. Vermande, B. Montmayeul, E. Ghegin, and Fabrice Nemouchi
- Subjects
Distributed feedback laser ,Fabrication ,Silicon photonics ,Materials science ,Silicon ,business.industry ,Physics::Optics ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,Waveguide (optics) ,law.invention ,Semiconductor laser theory ,010309 optics ,chemistry ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Photonics ,0210 nano-technology ,business - Abstract
In this paper we demonstrate the first integration of a hybrid III-V/Si laser in a fully CMOS compatible 200mm technology. Device with SMSR up to 50 dB and a maximum output power of 4mW coupled in the waveguide have been measured. The fabrication flow is fully planar and compatible with large scale integration silicon photonics circuit.
- Published
- 2017
- Full Text
- View/download PDF
24. Guidelines for intermediate back end of line (BEOL) for 3D sequential integration
- Author
-
Vincent Delaye, D. Nouguier, Zineb Saghi, N. Rambal, Claire Fenouillet-Beranger, Olivier Rozeau, V. Balan, Philippe Rodriguez, Francois Andrieu, Maud Vinet, S. Beaurepaire, A. Ayres de Sousa, C. Guerin, P. Besombes, Laurent Brunet, Vincent Jousseaume, H. Dansas, M.-P. Samson, F. Proud, Bernard Previtali, D. Ney, R. Famulok, F. Deprat, F. Ibars, Guillaume Rodriguez, Perrine Batude, Xavier Federspiel, and Fabrice Nemouchi
- Subjects
Very-large-scale integration ,Engineering ,Interconnection ,business.industry ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,0104 chemical sciences ,Back end of line ,Stack (abstract data type) ,Electronic engineering ,Thermal stability ,0210 nano-technology ,business - Abstract
For the first time the thermal stability of a new fluorine-free (F-free) W barrier coupled with W interconnections enabling 22% line 1 resistance improvement is evaluated in view of 3D VLSI integration. Integrated with ULK, no resistance nor lateral capacitance degradation is observed up to 550°C 5h while preserving good reliability. For additional thermal stability a TEOS/W stability is demonstrated up to 600°C 2h. Both types of interconnection stacks have been successfully integrated on devices with 28nm design rules and show similar performance for MOSFETs and Ring Oscillators (RO) as compared to the ULK/Cu stack. Finally, iBEOL guidelines are given at the end in view of 3D sequential integration.
- Published
- 2017
- Full Text
- View/download PDF
25. Phase formation sequence in the Ti/InP system during thin film solid-state reactions
- Author
-
Miklós Menyhárd, E. Ghegin, S. Favier, János L. Lábár, Philippe Rodriguez, Fabrice Nemouchi, Isabellle Sagnes, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), MTA EK MFA, Konkoly Thege, Hungary, Centre de Nanosciences et de Nanotechnologies [Marcoussis] (C2N), Université Paris-Sud - Paris 11 (UP11)-Centre National de la Recherche Scientifique (CNRS), and ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010)
- Subjects
In situ ,Materials science ,Diffusion barrier ,Diffusion ,Si Photonics ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,In ,01 natural sciences ,[SPI.MAT]Engineering Sciences [physics]/Materials ,0103 physical sciences ,TiP ,Thin film ,Ti ,010302 applied physics ,Economies of agglomeration ,solid state reaction ,Metallurgy ,InP ,021001 nanoscience & nanotechnology ,Ti2In5 ,Chemical engineering ,chemistry ,0210 nano-technology ,Layer (electronics) ,III-V laser ,Titanium - Abstract
International audience; The metallurgical properties of the Ti/InP system meet a great interest for its use as a contact in the scope of various applications such as the Si Photonics. The investigations conducted on this system highlight the initiation of a reaction between the Ti and the InP substrate during the deposition process conducted at 100 °C. The simultaneous formation of two binary phases, namely, Ti$_2$In$_5$ and TiP, is attributed to the compositional gradient induced in the InP by the wet surface preparation and enhanced by the subsequent $in situ$ Ar$^+$ preclean. Once formed, the TiP layer acts as a diffusion barrier inhibiting further reaction up to 450 °C in spite of the presence of an important Ti reservoir. At higher temperature, however, i.e., from 550 °C, the reaction is enabled either by the enhancement of the species diffusion through the TiP layer or by its agglomeration. This reaction gives rise to the total consumption of the Ti$_2$In$_5$ and Ti while the TiP and In phases are promoted.
- Published
- 2017
- Full Text
- View/download PDF
26. Ultra-thin dielectric insertions for contact resistivity lowering in advanced CMOS: Promises and challenges
- Author
-
Yves Morand, Louis Hutin, Donato Kava, Fabrice Nemouchi, Maud Vinet, Magali Gregoire, Emmanuel Dubois, N. Bernier, J. Borrel, Remy Gassilloud, Institut d’Électronique, de Microélectronique et de Nanotechnologie - UMR 8520 (IEMN), Centrale Lille-Institut supérieur de l'électronique et du numérique (ISEN)-Université de Valenciennes et du Hainaut-Cambrésis (UVHC)-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), University of Texas [El Paso] (UTEP ), Microélectronique Silicium - IEMN (MICROE SI - IEMN), Centrale Lille-Institut supérieur de l'électronique et du numérique (ISEN)-Université de Valenciennes et du Hainaut-Cambrésis (UVHC)-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF)-Centrale Lille-Institut supérieur de l'électronique et du numérique (ISEN)-Université de Valenciennes et du Hainaut-Cambrésis (UVHC)-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF), French Public Authority through NANO, French Public Authority through Equipex [FDSOI11], and Microélectronique Silicium - IEMN (MICROELEC SI - IEMN)
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,Applied physics ,business.industry ,General Engineering ,General Physics and Astronomy ,Nanotechnology ,Insulator (electricity) ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,[SPI]Engineering Sciences [physics] ,Semiconductor ,CMOS ,Electrical resistivity and conductivity ,0103 physical sciences ,0210 nano-technology ,business - Abstract
International audience; In this paper, in order to provide a comprehensive overview of the opportunities and limitations of the metal/insulator/semiconductor contacts approach, expected performance based on ideal contact simulations as well as key practical aspects are presented. While the former give us a glimpse of the theoretical potential of this paradigm, mainly to contact nFETs, the latter highlights concerns about the electrical characterization of such contacts along with issues occurring during their physical implementation. (c) 2017 The Japan Society of Applied Physics
- Published
- 2017
- Full Text
- View/download PDF
27. Thermal stability of Ni1-uPtu (0 < u < 0.15) germanosilicide
- Author
-
Dominique Mangelinck, Magali Gregoire, Fabrice Nemouchi, Emilie Bourjot, Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
- Subjects
010302 applied physics ,Materials science ,Scanning electron microscope ,Analytical chemistry ,General Physics and Astronomy ,02 engineering and technology ,Atom probe ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Transmission electron microscopy ,law ,Phase (matter) ,0103 physical sciences ,X-ray crystallography ,Thermal stability ,Texture (crystalline) ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,Sheet resistance - Abstract
International audience; Solid-state reactions between Ni1-uPtu (0< u < 0.15 at.%) and Si0.7Ge0.3 after rapid thermal annealing at 280 to 700 degrees C were studied. Numerous physical and chemical characterizations such as sheet resistance analysis, scanning electron microscopy, transmission electron microscopy, Xray diffraction measurement, and atom probe tomography were used to determine the formation and morphological degradation mechanisms of the pure Ni-based germanosilicide. In particular, atom probe tomography was used to quantitatively determine the element distribution in 3D and at the atomic scale. Similar mechanisms for the degradation were found for the Ni mono germanosilicide with and without Pt and led to Ge rich Si1-xGex regions that are etched away by the selective etch. These mechanisms, Ge out-diffusion and agglomeration, have a combined effect on the germanosilicide degradation and occurs through Ge and Ni diffusion, respectively. Adding Pt increases the thermal stability of the layer owing to changes in the phase sequence and texture and strong binding with Ge atoms. Several models are developed to explain the different steps of the film morphological degradation. The thermodynamics description of the equilibrium in the quaternary Ni-Pt-Si-Ge system allows us to rule out a pure thermodynamics explanation for the morphological stabilization due to Pt addition. Published by AIP Publishing.
- Published
- 2017
- Full Text
- View/download PDF
28. Integration of the Ni/InP system on a 300 mm platform for III-V/Si hybrid lasers
- Author
-
Quentin Rafhay, Magali Gregoire, K. Dabertrand, Philippe Rodriguez, Fabrice Nemouchi, Patrice Gergaud, F. Boyer, Denis Mariolle, and Christophe Jany
- Subjects
Materials science ,chemistry.chemical_element ,02 engineering and technology ,Surface finish ,01 natural sciences ,Phase (matter) ,0103 physical sciences ,Materials Chemistry ,Electrical and Electronic Engineering ,Instrumentation ,Ohmic contact ,Deposition (law) ,010302 applied physics ,Argon ,business.industry ,Process Chemistry and Technology ,021001 nanoscience & nanotechnology ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Nickel ,chemistry ,Optoelectronics ,0210 nano-technology ,business ,Indium ,Surface integrity - Abstract
The integration of III-V/Si hybrid lasers on a 300 mm platform for photonic applications requires the development of dedicated CMOS-compatible contacts, for which nickel-based ones are very good candidates. In this scope, this work presents and compares the impact of in situ preclean based on argon (Ar) or helium (He) plasma on the surface integrity of InP prior to the nickel (Ni) contact deposition. The resulting surface morphology, element distribution, phase formation sequence of the Ni/InP system, and electrical behavior of Ni/n-InP contacts are detailed using morphological, structural, and electrical characterizations. The results show that Ar preclean significantly damages the InP surface by generating high roughness and creating indium (In) dots on the top surface, while He preclean seems to induce lighter damages and no In dots. Although the phase sequence of the Ni/InP system is overall the same for each preclean, the electrical behavior differs depending on the nature of the preclean. On one hand, Ni/n-InP Ar-precleaned contacts exhibit nonohmic behavior for each investigated thermal budget. On the other hand, He-precleaned contacts features ohmic behavior for the as-deposited state and thermal anneals up to 350 ° C for 60 s. They, however, become nonohmic after anneals of 400 and 450 ° C for 60 s. These results, hence, suggest that the difference of electrical behavior obtained between Ar and He-precleaned Ni/n-InP contacts is due to differences in the state surface and morphology.The integration of III-V/Si hybrid lasers on a 300 mm platform for photonic applications requires the development of dedicated CMOS-compatible contacts, for which nickel-based ones are very good candidates. In this scope, this work presents and compares the impact of in situ preclean based on argon (Ar) or helium (He) plasma on the surface integrity of InP prior to the nickel (Ni) contact deposition. The resulting surface morphology, element distribution, phase formation sequence of the Ni/InP system, and electrical behavior of Ni/n-InP contacts are detailed using morphological, structural, and electrical characterizations. The results show that Ar preclean significantly damages the InP surface by generating high roughness and creating indium (In) dots on the top surface, while He preclean seems to induce lighter damages and no In dots. Although the phase sequence of the Ni/InP system is overall the same for each preclean, the electrical behavior differs depending on the nature of the preclean. On one han...
- Published
- 2020
- Full Text
- View/download PDF
29. Source and Drain Contact Module for FDSOI MOSFETs : Silicidation and Strain Engineering
- Author
-
Sophie Bernasconi, David Cooper, Jean-Francois Damlencourt, Yves Morand, Fabrice Nemouchi, Sylvie Favier, Jean-Michel Hartmann, and Veronique Carron
- Subjects
Engineering ,Engineering drawing ,Strain engineering ,business.industry ,business ,Engineering physics - Abstract
Strained SiGe and SiC sources and drains are planned to be used in sub-28nm FDSOI devices in order to improve the carriers mobility. Consequently, silicide-induced relaxation of strained epitaxial layers is a key issue to address in order to fully benefit from the desired effects of strain engineering techniques. This paper deal with the impact of the silicidation process conditions on the strain of Si1-xGex:B layers (x=0.3 and 0.45) and SiC:P with [C]≤1,5%. We show that the strain of epitaxial layers is not affected by the silicidation in the case of Si0.7Ge0.3 and SiC, while a strong degradation of strain occurs with Si0.55Ge0.45. The strain evolution in the channel of a device at various stages of the silicidation process has been followed using dark field electron holography. We show that process parameters can be tuned in order to minimize the reduction of strain induced by the silicidation
- Published
- 2013
- Full Text
- View/download PDF
30. (Plenary) The Future of Heterogeneous and Diversified ULSI Nanoelectronics
- Author
-
Perrine Batude, François Martin, Fabrice Nemouchi, Maud Vinet, Xavier Jehl, M. Sanquer, Simeon Morvan, Frederic Milesi, François Templier, Simon Deleonibus, and Francois Andrieu
- Subjects
Engineering ,Nanoelectronics ,business.industry ,Nanotechnology ,business - Abstract
Nanoelectronics will have to face major challenges in the next decades in order to proceed with increasing progress to the sub 10 nm nodes level and face the challenge to approach zero variability. The main requirements will be to reduce leakage currents and reduce access resistances at the same time in order to fully exploit 3D integration at the device, elementary function, chip and system. New progress laws combined to the scaling down of CMOS based technology will emerge to enable new paths to Functional Diversification. New materials and disruptive architectures, mixing logic and memories, Heterogeneous Integration, introducing 3D schemes at the Front End and Back End levels, will come into play to make it possible
- Published
- 2013
- Full Text
- View/download PDF
31. Evaluation Of Ni(Si1-xGex) and Pt(Si1-xGex) Contact Resistance for FD-SOI PMOS Metallic Source and Drain
- Author
-
Fabienne Allain, Fabrice Nemouchi, V. Carron, Maud Vinet, Yves Morand, Jean-Francois Damlencourt, D. Lafond, Emilie Bourjot, Sophie Bernasconi, and Olga Cueto
- Subjects
Resistive touchscreen ,Materials science ,business.industry ,Transistor ,Contact resistance ,Silicon on insulator ,Salicide ,law.invention ,PMOS logic ,law ,Electrical resistivity and conductivity ,Electronic engineering ,Optoelectronics ,Process window ,business - Abstract
To improve 20nm FD-SOI pMOS transistor performances, salicide process must be optimized on SiGe source&drain. In this paper, we propose an investigation on Ni and Pt/Si1-xGex (x=0.15, 0.3) systems. In a first part, process window is studied to determine thermal budget domain where the less resistive phase is stable morphologically and thermically. Solid state reactions in terms of phase sequence, thermal stability and morphology have been examined. At high temperature, two kinds of degradations have been observed. In Ni case, Ge out diffusion leads to the film agglomeration. In Pt case, grain coalescence degrades germanosilicide film. In a second part, contact resistivity has been extracted on lateral germanosilicides thanks to new designed structures. After a validation on Pt/Si system, RC extraction has been carried out on Si1-xGex substrate up to 30% of Ge. RC seems to be better with Pt compared to Ni with the same conditions of process optimizations.
- Published
- 2013
- Full Text
- View/download PDF
32. Reaction of Ni film with In0.53Ga0.47As: Phase formation and texture
- Author
-
Tra Nguyen-Thanh, Nathalie Boudet, Patrice Gergaud, Laetitia Rapenne, Philippe Rodriguez, S. Zhiou, Nils Blanc, Fabrice Nemouchi, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), CRG et Grands Instruments (CRG ), Institut Néel (NEEL), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Laboratoire des matériaux et du génie physique (LMGP ), Institut National Polytechnique de Grenoble (INPG)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), ANR-11-EQPX-0010,CRGF,Lignes synchrotron françaises à l'ESRF(2011), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), ANR-10-LABX-0044,CEMAM,Center of Excellence in Multifunctional Architectured Materials(2010), CRG & Grands instruments (NEEL - CRG), and Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Materials science ,Annealing (metallurgy) ,Intermetallic ,General Physics and Astronomy ,02 engineering and technology ,Crystal structure ,021001 nanoscience & nanotechnology ,01 natural sciences ,[SPI.MAT]Engineering Sciences [physics]/Materials ,Crystallography ,Reciprocal lattice ,Lattice constant ,0103 physical sciences ,X-ray crystallography ,Scanning transmission electron microscopy ,0210 nano-technology ,Stoichiometry - Abstract
International audience; The solid-state reaction between Ni and In In$_{0.53}$Ga$_{0.47}$As on an InP substrate was studied by X-ray diffraction (XRD) and scanning transmission electron microscopy-energy-dispersive X-ray spec-troscopy techniques. Due to the monocrystalline structural aspect of the so-formed intermetallic, it was necessary to measure by XRD a full 3D reciprocal space mapping in order to have a complete overlook over the crystalline structure and texture of the intermetallic. The formation of the inter-metallic was studied upon several different Rapid Thermal Annealings on the as-deposited samples. Pole figures analysis shows that the intermetallic features a hexagonal structure (P6$_3$$/mmc$) with an NiAs-type (B8) structure. Although only one hexagonal structure is highlighted, the intermetallic exhibits two different domains characterized by different azimuthal orientations, axiotaxial relationship, and lattice parameters. The intermetallic phases seem to present a rather wide range of stoichiometry according to annealing temperature. The texture, structure, and stoichiometry of the intermetallic are discussed along with the evolution of lattice parameters of the Ni-InGaAs phase.
- Published
- 2016
- Full Text
- View/download PDF
33. Recent advances in low temperature process in view of 3D VLSI integration
- Author
-
N. Rambal, C. Fenouillet-Beranger, X. Garros, G. Cibrario, M.-P. Samson, B. Mathieu, Fabrice Nemouchi, Perrine Batude, C. Guerin, C. Leroux, Laurent Brunet, C-M. V. Lu, Sebastien Kerdiles, O. Billoint, Daniel Benoit, M. Brocard, J. Micout, R. Gassilloud, M. Vinet, Pascal Besson, Bernard Previtali, Christian Arvet, L. Pasini, Sebastien Thuries, V. Lapras, Francois Andrieu, Virginie Loup, F. Deprat, P. Acosta-Alba, V. Beugin, V. Mazzocchi, P. Besombes, and J.M. Hartmann
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Fabrication ,Annealing (metallurgy) ,Gate stack ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Dopant Activation ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Engineering physics ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Silicide ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,0210 nano-technology - Abstract
In this paper, the recent advances in low temperature process in view of 3D VLSI integration are reviewed. Thanks to the optimization of each low temperature process modules (dopant activation, gate stack, epitaxy, spacer deposition) and silicide stability improvement, the top layer thermal budget fabrication has been decreased in order to satisfy the requirements for 3D VLSI integration.
- Published
- 2016
- Full Text
- View/download PDF
34. Formation of Ni3InGaAs phase in Ni/InGaAs contact at low temperature
- Author
-
Philippe Maugis, Fabrice Nemouchi, Khalid Hoummada, Philippe Rodriguez, Patrice Gergaud, C. Perrin, S. Zhiou, E. Ghegin, Dominique Mangelinck, Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU), and Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Diffraction ,Materials science ,Physics and Astronomy (miscellaneous) ,Scanning electron microscope ,Analytical chemistry ,02 engineering and technology ,Atom probe ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Volume (thermodynamics) ,law ,Phase (matter) ,0103 physical sciences ,Grain boundary ,Thin film ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology - Abstract
International audience; The composition and morphology of the product phase after the reaction of Ni thin film with In0.53Ga0.47As substrate at 350 degrees C were investigated by atom probe tomography, X-ray diffraction, and scanning electron microscopy. Results show the formation of a unique Ni-3(In0.53Ga0.47) As phase with a low concentration in-depth gradient of Ni and the decoration of the grain boundaries by In atoms. These analyses indicate that Ni is the main diffusing specie during the growth of Ni-3(In0.53Ga0.47) As phase. The volume of the product phase is higher than the volume of the consumed Ni film as expected for the formation of Ni-3(In0.53Ga0.47) As phase. Published by AIP Publishing.
- Published
- 2016
- Full Text
- View/download PDF
35. Metallurgical studies of integrable Ni-based contacts for their use in III–V/Si heterogeneous photonics devices
- Author
-
Fabrice Nemouchi, C. Perrin, Christophe Jany, Khalid Hoummada, János L. Lábár, S. Zhiou, Isabelle Sagnes, Ph. Rodriguez, E. Ghegin, Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU), Laboratoire de photonique et de nanostructures (LPN), Centre National de la Recherche Scientifique (CNRS), and Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Silicon photonics ,Integrable system ,business.industry ,Metallurgy ,Context (language use) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,7. Clean energy ,01 natural sciences ,chemistry.chemical_compound ,CMOS ,chemistry ,0103 physical sciences ,Indium phosphide ,Photonics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business - Abstract
International audience; Opening the way to large bandwidths and high data rates Silicon Photonics is of great interest. In the scope of co-integrating III-V devices with CMOS very large scale integration (VLSI), innovative contacts to III-V materials have to be developed. In this paper we study the metallurgical and electrical properties of Ni-based metallizations to n-InP and p-InGaAs. It appears that the integration of both metallizations must be realized at temperatures lower than or equal to 340 °C starting with that on n-InP.
- Published
- 2016
- Full Text
- View/download PDF
36. Considerations on Fermi-depinning, dipoles and oxide tunneling for oxygen-based dielectric insertions in advanced CMOS contacts
- Author
-
Magali Gregoire, Emmanuel Nolot, H. Grampeix, M. Vinet, Fabienne Allain, J.P. Barnes, E. Ghegin, Claude Tabone, Louis Hutin, Philippe Rodriguez, Emmanuel Dubois, Fabrice Nemouchi, Yves Morand, J. Borrel, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut d’Électronique, de Microélectronique et de Nanotechnologie - UMR 8520 (IEMN), Centrale Lille-Institut supérieur de l'électronique et du numérique (ISEN)-Université de Valenciennes et du Hainaut-Cambrésis (UVHC)-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF), STMicroelectronics, Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Microélectronique Silicium - IEMN (MICROELEC SI - IEMN), Centrale Lille-Institut supérieur de l'électronique et du numérique (ISEN)-Université de Valenciennes et du Hainaut-Cambrésis (UVHC)-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF)-Centrale Lille-Institut supérieur de l'électronique et du numérique (ISEN)-Université de Valenciennes et du Hainaut-Cambrésis (UVHC)-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF), Laboratoire commun STMicroelectronics-IEMN T4, and Microélectronique Silicium - IEMN (MICROE SI - IEMN)
- Subjects
Materials science ,business.industry ,Oxide ,Insulator (electricity) ,Dielectric ,Atomic layer deposition ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,Semiconductor ,chemistry ,Electrical resistivity and conductivity ,Electronic engineering ,Optoelectronics ,business ,Silicon oxide ,Quantum tunnelling - Abstract
International audience; We present experimental and simulated J-V characteristics of Metal/Insulator/Semiconductor (MIS) junctions aiming at improving the contact resistivity for advanced CMOS nodes. We show that an Atomic Layer Deposition (ALD)-based Al2O3 process may induce a native silicon oxide regrowth leading to an additional tunneling resistance in series. A modelling-based analysis of Metal/Insulator/Insulator/Metal (MIIS) contacts, including the potentially beneficial interfacial dipole, provides a new outlook on high-kappa/SiO2 bilayers for low resistivity contacts.
- Published
- 2016
- Full Text
- View/download PDF
37. Contacts for Monolithic 3D architecture: Study of Ni$_{0.9}$Co$_{0.1}$ Silicide Formation
- Author
-
C. Sese, F. Deprat, Fabrice Nemouchi, Ph. Rodriguez, Claire Fenouillet-Beranger, Patrice Gergaud, S. Favier, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), ANR-11-EQPX-0010,CRGF,Lignes synchrotron françaises à l'ESRF(2011), and ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
Diffraction ,Materials science ,Silicon ,NiCo ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,silicide ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,law ,Phase (matter) ,0103 physical sciences ,Silicide ,Thermal stability ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Sheet resistance ,010302 applied physics ,business.industry ,Transistor ,021001 nanoscience & nanotechnology ,Crystallography ,chemistry ,solid-state reaction ,Optoelectronics ,0210 nano-technology ,business - Abstract
Auteur correspondant: "philippe.rodriguez@cea.fr"; International audience; In this work, we studied the solid-state reaction between a Ni$_{0.9}$Co$_{0.1}$ film and a silicon substrate. NiCo silicide is considered to substitute Ni-and NiPt-based silicides in 3D integration in order to extend the bottom transistor thermal stability. Thanks to the combined analysis of sheet resistance data, X-ray reflectivity spectra modelling, X-ray diffraction and wavelength dispersive X-ray fluorescence analyses on Ni$_{0.9}$Co$_{0.1}$ /Si samples annealed at various temperatures, we were able to describe the phase sequence of the NiCo silicide formation.
- Published
- 2016
- Full Text
- View/download PDF
38. Metal/insulator/semiconductor contacts for ultimately scaled CMOS nodes: projected benefits and remaining challenges
- Author
-
Maud Vinet, H. Grampeix, Emmanuel Dubois, Magali Gregoire, Emmanuel Nolot, Yves Morand, Magali Tessaire, J. Borrel, Guillaume Rodriguez, Fabrice Nemouchi, Louis Hutin, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut d’Électronique, de Microélectronique et de Nanotechnologie - UMR 8520 (IEMN), Centrale Lille-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF)-JUNIA (JUNIA), Université catholique de Lille (UCL)-Université catholique de Lille (UCL), STMicroelectronics [Grenoble] (ST-GRENOBLE), Jiang, YL, Qu, XP, Ru, GP, Li, BZ, and Laboratoire commun STMicroelectronics-IEMN T4
- Subjects
010302 applied physics ,Materials science ,business.industry ,Insulator (electricity) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,[SPI]Engineering Sciences [physics] ,Semiconductor ,CMOS ,0103 physical sciences ,Electronic engineering ,Hardware_INTEGRATEDCIRCUITS ,Metal insulator ,0210 nano-technology ,business - Abstract
International audience; In this paper, some key fundamental aspects of Metal / Insulator / Semiconductor contacts as well as practical issues occurring with their implementation are reviewed in order to fully comprehend the opportunities and limitations of this approach.
- Published
- 2016
39. First integration of Ni0.9Co0.1 on pMOS transistors
- Author
-
M. Danielou, F. Deprat, Perrine Batude, Mikael Casse, N. Rambal, Bernard Previtali, Maud Vinet, M. Mellier, Fabrice Nemouchi, Michel Haond, Magali Gregoire, Claire Fenouillet-Beranger, Ph. Rodriguez, Vincent Delaye, and S. Favier
- Subjects
010302 applied physics ,Materials science ,Transistor ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Salicide ,01 natural sciences ,Engineering physics ,PMOS logic ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,0103 physical sciences ,Silicide ,Thermal ,MOSFET ,Electronic engineering ,Thermal stability ,0210 nano-technology - Abstract
In 3D sequential integration, the top transistor thermal budget must be reduced to preserve bottom MOSFET performance. In order to relax this thermal budget limitation, the thermal stability of the bottom level must be increased, especially for the silicide. In that purpose, Ni0.9Co0.1 alloy is proposed to replace the current Ni0.85Pt0.15 silicide. For the first time, this Ni0.9Co0.1 salicide has been integrated on pMOS FDSOI transistors with state of the art process leading to performance improvements compared to the standard Ni0.85Pt0.15 salicide. In this study, the cobalt incorporation into the salicide has been investigated to enhance its thermal stability.
- Published
- 2016
- Full Text
- View/download PDF
40. Towards contact integration for III–V/Silicon heterogeneous photonics devices
- Author
-
M. Brihoum, Ch. Jany, A. Halimaoui, E. Ghegin, Bertrand Szelag, Isabelle Sagnes, Ph. Rodriguez, Fabrice Nemouchi, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de photonique et de nanostructures (LPN), Centre National de la Recherche Scientifique (CNRS), ANR: ANR-10-AIRT-05,Programme Investissements d’Avenir, and ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010)
- Subjects
Materials science ,Silicon ,Hybrid silicon laser ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Silicon on insulator ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,020210 optoelectronics & photonics ,Heterogeneously integrated III- V laser on Silicon ,Silicon Photonics ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,010302 applied physics ,Silicon photonics ,business.industry ,Semiconductor ,CMOS ,chemistry ,visual_art ,Electronic component ,visual_art.visual_art_medium ,Optoelectronics ,Photonics ,business ,Contact integration - Abstract
International audience; Silicon photonics is of great interest as it opens the way to large bandwidth and high data rates. A pioneer Silicon photonics scheme consists in integrating III-V lasers on the SOI substrates containing the passive components. However, key developments are necessary to co-integrate III-V devices with CMOS very large scale integration (VLSI). In this paper we propose a CMOS-compatible integration scheme of contacts (i.e. semiconductor metallization and plug) on III-V surfaces taking into account the limitations fixed by the operating laser device. Based on metallurgical, morphological, optical and electrical studies, processes are submitted and reviewed for the purpose of forming stable and reproducible contacts with low resistivity in a 200 millimeters fab line.
- Published
- 2016
- Full Text
- View/download PDF
41. In situ cleaning of InGaAs surfaces prior to low contact resistance metallization
- Author
-
E. Ghegin, Laura Toselli, Ph. Rodriguez, Fabrice Nemouchi, Nicolas Chevalier, Eugénie Martinez, Névine Rochat, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), ANR-13-NANO-0001,MOSINAS,MOSFET à hétérostructure et film ultra mince d'InAs sur substrat silicium(2013), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), ANR: ANR-10-AIRT-05,Programme Investissements d’Avenir, and ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010)
- Subjects
inorganic chemicals ,Materials science ,InGaAs ,Hydrogen ,Analytical chemistry ,chemistry.chemical_element ,Native oxides ,02 engineering and technology ,Surface finish ,01 natural sciences ,X-ray photoelectron spectroscopy ,0103 physical sciences ,Remote plasma ,XPS ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Arsenic ,010302 applied physics ,Contact resistance ,Plasma ,Surface pretreatment ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Direct plasma ,chemistry ,FTIR ,0210 nano-technology ,Indium - Abstract
International audience; In this work, we studied the pretreatment of InGaAs layers by employing Ar-and He-based direct plasmas and NH$_3$ , H$_2$ , NF$_3$ /NH$_3$ remote plasmas. All the remote plasmas involved in this study were inadequate to remove the InGaAs native oxides. Moreover, for NF$_3$ /NH$_3$ exposed samples, we noticed the addition of undesirable In–F and Ga–F bonds. Concerning Ar and He direct plasmas, investigations exhibited that both seem to be efficient for removing arsenic oxides whereas the elimination of indium oxides is more effective with Ar plasma. We also studied the addition of hydrogen into He direct plasma and we demonstrated that increasing the H2 content leads to decreasing the removal of arsenic oxides. The impact on indium oxides is also notable as we observed a reducing effect of hydrogen on indium and the emergence of In–In type bonds. Finally, whatever the plasma pretreatment, no degradation of surface morphology and roughness was observed by AFM. The RMS values obtained after surface treatments are similar with the ones acquired for reference samples.
- Published
- 2016
- Full Text
- View/download PDF
42. Phase formation in the Ni/n-InP contacts for heterogeneous III/V-silicon photonic integration
- Author
-
Khalid Hoummada, Fabrice Nemouchi, S. Gurban, János L. Lábár, E. Ghegin, C. Perrin, Isabelle Sagnes, S. Favier, Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
- Subjects
Materials science ,Annealing (metallurgy) ,Nucleation ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,Metal ,Sputtering ,0103 physical sciences ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,010302 applied physics ,Silicon photonics ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,Crystallography ,Chemical engineering ,chemistry ,Agglomerate ,visual_art ,visual_art.visual_art_medium ,0210 nano-technology ,Indium - Abstract
The metallurgical properties of the Ni/n-InP system meet a great interest for its use as a contact in the scope of Photonics laser application. We report the formation of a compositionally non-uniform Ni-In-P amorphous layer during the early stages of the contacts elaboration, which include HCl and Ar+ plasma cleanings prior to the metal DC sputtering. During various heat treatments, the coexistence of the Ni2P and Ni3P binary phases and the Ni2(InP) ternary phase were observed while In release was featured. For temperatures equal to or greater than 350?C we highlighted the formation of In phase. Thanks to RTP and long-time annealing processes, we pointed out the predominance of the diffusion and/or interfacial reactions on the formation of the Ni2P, Ni3P and Ni2(InP) phases and that of nucleation or melting/solidification on the formation of In agglomerates. Display Omitted Modification of the InP surface by the Ar+ pre-cleanGrowth of Ni2P, Ni3P and Ni2(InP) phases associated to Indium release during rapid annealing treatmentsFormation of the Ni2P, Ni3P and Ni2(InP) phases controlled by the diffusion and/or interfacial reactionsFormation of In clusters controlled by precipitation or melting/solidification for temperatures equal to or greater than 350?C
- Published
- 2016
- Full Text
- View/download PDF
43. 3D monolithic integration: Technological challenges and electrical results
- Author
-
Laurent Clavelier, Olivier P. Thomas, Perrine Batude, S. Michaud, V. Mazzocchi, L. Baud, Maud Vinet, H. Grampeix, A. Roman, Claude Tabone, A. Valentian, Fabrice Nemouchi, A. Pouydebasque, C. LeRoyer, Loic Sanchez, Amara Amara, V. Carron, Bernard Previtali, O. Faynot, and Simon Deleonibus
- Subjects
Materials science ,Wafer bonding ,Transistor ,Silicon on insulator ,Integrated circuit ,Condensed Matter Physics ,Engineering physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Threshold voltage ,Depletion region ,law ,Wafer ,Electrical and Electronic Engineering ,Layer (electronics) - Abstract
After a short reminder of the principle of monolithic 3D integration, this paper firstly reviews the main technological challenges associated to this integration and proposes solutions to assess them. Wafer bonding is used to have perfect crystalline quality of the top layer at the wafer scale. Thermally stabilized silicide is developed to use standard salicidation scheme in the bottom layer. Finally a fully depleted SOI low temperature process is demonstrated for top layer processing (overall temperature kept below 650^oC). In a second part the electrical results obtained within this integration scheme are summarized: mixed Ge over Si invertor is demonstrated and electrostatic coupling between top and bottom layer is used to shift the threshold voltage of the top layer. Finally circuit opportunities such as stabilized SRAM or gain in density are investigated.
- Published
- 2011
- Full Text
- View/download PDF
44. Impact of Pt on the phase formation sequence, morphology, and electrical properties of Ni(Pt)/Ge0.9Sn0.1 system during solid-state reaction
- Author
-
Ph. Rodriguez, Vincent Reboud, Jean-Paul Barnes, Virginie Loup, Nicolas Chevalier, Andrea Quintero, Patrice Gergaud, J.M. Hartmann, Fabrice Nemouchi, and J. Aubin
- Subjects
010302 applied physics ,Diffraction ,Morphology (linguistics) ,Materials science ,Analytical chemistry ,General Physics and Astronomy ,02 engineering and technology ,Surface finish ,021001 nanoscience & nanotechnology ,01 natural sciences ,Reciprocal lattice ,Phase (matter) ,0103 physical sciences ,X-ray crystallography ,Surface roughness ,Thin film ,0210 nano-technology - Abstract
Ni-GeSn based materials are promising in order to obtain contacts in complementary metal oxide semiconductor and Si photonic devices. In this work, a systematic and comprehensive study of the solid-state reaction between NiPt thin films and Ge0.9Sn0.1 layers is carried out. A particular focus is given on the impact of the addition of 10 at. % of Pt in Ni thin films. In situ X-ray diffraction and in-plane reciprocal space map measurements reveal a sequential growth in which the first phase appearing corresponds to a Ni-rich phase: (Ni0.9Pt0.1)5(Ge0.9Sn0.1)3. Then, at 245 °C, the Ni-rich phase vanishes to the benefit of the mono-stanogermanide phase (Ni0.9Pt0.1)(Ge0.9Sn0.1), which is unstable. At 360 °C, a more stable (Ni1– yPty)(Ge1– xSnx) phase is obtained concomitantly to the formation of PtSnx compounds. Finally, Sn segregation occurs at even higher temperatures. Even if Pt addition in Ni thin films complicates the phase formation sequence, it positively impacts the surface morphology and roughness, delays film agglomeration and Sn segregation, and stabilizes the electrical properties of the stanogermanide in a wide range of temperatures.
- Published
- 2018
- Full Text
- View/download PDF
45. Schottky Barrier Height Extraction in Ohmic Regime: Contacts on Fully-Processed 200mm GeOI Substrates
- Author
-
Laurent Clavelier, Louis Hutin, Vincent Delaye, Simon Deleonibus, V. Carron, Fabrice Nemouchi, F. Aussenac, Claude Tabone, and Cyrille Le Royer
- Subjects
Materials science ,business.industry ,Schottky barrier ,Extraction (chemistry) ,Optoelectronics ,Metal–semiconductor junction ,business ,Ohmic contact - Abstract
The problematics of contacts optimization on Germanium MOSFETs suffers from a gap between fundamental studies and the structures obtained after full processing. The contact properties of metals such as Ti on Ge were so far mostly investigated on weakly n-doped samples under pure Thermionic Emission (TE) regime. In this paper, we detail Schottky Barrier Height (SBH) extractions based on contact resistance (Rco) measurements on highly n- and p-doped Ge, where the predominant tunnel current component results in ohmic behavior. We applied this methodology to our fully-processed GeOI samples with Ti-based contacts, yielding effective barriers of 0.32eV for electrons and 0.15eV for holes. The method provides a good physical understanding of the technological factors impacting their electrical properties, therefore enabling to define paths towards ohmic contact optimization in the context of device integration on GeOI.
- Published
- 2008
- Full Text
- View/download PDF
46. Enabling 3D Monolithic Integration
- Author
-
Perrine Batude, Simon Deleonibus, Loic Sanchez, Cyrille Leroyer, Laurence Baud, Fabrice Nemouchi, Maud Vinet, Corine Comboroure, A. Pouydebasque, F. Aussenac, Laurent Clavelier, V. Mazzocchi, V. Carron, Bernard Previtali, Stéphane Pocas, Helen Grampeix, Antonio Roman, and Claude Tabone
- Subjects
Interconnection ,Materials science ,business.industry ,Wafer bonding ,Transistor ,Silicon on insulator ,Dopant Activation ,law.invention ,law ,Chemical-mechanical planarization ,Optoelectronics ,Thin film ,business ,Sheet resistance - Abstract
P. Batude, M. Vinet, L. Clavelier, A. Pouydebasque, C. Tabone, A. Roman, L. Baud, V. Carron, F. Nemouchi, L. Sanchez, and S. Deleonibus. CEA-LETI, Minatec, 17 rue des Martyrs, 38054 Grenoble Cedex 9, France. perrine.batude@cea.fr 3D integration is regularly mentioned for its potential in decreasing interconnection delay, and for the density gain brought by stacking several transistors layers. An additional benefit of 3D integration lies in an independent optimization of n-FET and p-FET allowed by stacking entire p-FET onto n-FET layers. In this integration scheme, connecting the layers at the transistor scale is absolutely mandatory. 3D monolithic integration, with its high alignment performance fulfils this requirement whereas parallel integration falls short in this aspect (best alignment performance at 1 sigma ~0.5μm). To achieve 3D monolithic integration, some issues such as realization of high quality top film, high stability bottom FET, low TB (Thermal Budget) top FET still have to be solved. In this paper, a 3D monolithic process flow relying on molecular Wafer Bonding (WB) (fig.1) is proposed and breakthroughs in the critical steps are presented. It allows full enhancement of n and p-FET performance through material choice, strain options, surface and channel orientation and metal workfunction tuning. Note that WB, contrary to other techniques for upper thin film realisation based on recristallisation, offers the possibility to co-integrate different surface and channel orientations. Furthermore this mature process step leads to a high quality crystalline top film with low TB. For the top crystalline layer realization, a Ge or Si on insulator substrate is bonded at room temperature on the fully processed bottom transistor layer after planarization of its topology (fig.1(b)). A low temperature anneal (200°C) is performed to strengthen the bonding interface before mechanical substrate removal. The bonding is found of excellent quality with bonding energy of 900 mJm (mazzara method) and clean acoustic and infrared characterisation as shown in figure 2 (a,b) . Note that the Inter Layer Dielectric (ILD) thickness (fig.2(c)) is thinned down to 100 nm and allows dense 3D contacts. Indeed this additional depth, specific to 3D technology must be minimized to enable the contact scalability as its etching and filling become critical. To spare the bottom FET from high temperature anneal for top transistor dopant activation, which would have detrimental impact on its performance, SPE (Solid Phase Epitaxial) on thin SOI films (
- Published
- 2008
- Full Text
- View/download PDF
47. Formation and stability of intermetallics formed by solid-state reaction of Ni on In0.53Ga0.47As
- Author
-
Fabrice Nemouchi, Laetitia Rapenne, S. Zhiou, Patrice Gergaud, Tra Nguyen-Thanh, Philippe Rodriguez, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire des matériaux et du génie physique (LMGP ), Institut National Polytechnique de Grenoble (INPG)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), and Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Annealing (metallurgy) ,business.industry ,020209 energy ,Transistor ,Solid-state ,Intermetallic ,02 engineering and technology ,[CHIM.MATE]Chemical Sciences/Material chemistry ,law.invention ,CMOS ,Electrical resistivity and conductivity ,law ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Optoelectronics ,business - Abstract
International audience; InGaAs, as a channel material, is an attractive option in order to enhance CMOS performances and continue the downscaling of transistors. In order to make reliable InGaAs-based devices, many aspects must be studied. We have studied the silicide-like formation of contacts for the InGaAs's MOSFET's by solid-state reaction of Ni on InGaAs on InP substrates. Precise comprehension of the so-formed material according to annealing temperature is crucial to obtain the desirable quality, stability and low resistivity of the intermetallic.
- Published
- 2016
48. 3DVLSI with CoolCube process: An alternative path to scaling
- Author
-
Maud Vinet, Thomas Signamarcheix, V. Lu, Julie Widiez, Fabien Clermidy, A. Royer, J. Mazurier, M.-P. Samson, F. Piegas-Luce, Fabrice Nemouchi, L. Pasini, J.M. Hartmann, M. Casse, F. Deprat, Laurent Brunet, N. Rambal, Maurice Rivoire, Perceval Coudrain, M. Bidaud, Ogun Turkyilmaz, Hossam Sarhan, F. Ponthenier, G. Ghibaudo, C. Euvard-Colnat, Perrine Batude, Louis Hutin, Sebastien Kerdiles, Claude Tabone, Emmanuel Josse, L. Benaissa, E. Petitprez, Remi Beneyton, Claire Fenouillet-Beranger, L. Hortemel, G. Cibrario, Pascal Besson, A. Seignard, B. Mathieu, F. Fournel, C. Bout, C. Agraffeil, S. Sollier, Michel Haond, O. Billoint, P. Leduc, O. Rozeau, Benoit Sklenard, Sebastien Thuries, Bernard Previtali, O. Faynot, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), STMicroelectronics, ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), European Project: 619325,EC:FP7:ICT,FP7-ICT-2013-11,COMPOSE3(2013), Laboratoire d'Electronique et des Technologies de l'Information (CEA-LETI), Université Grenoble Alpes (UGA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP)-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA), and ANR-10-EQPX-0030/10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,business.industry ,Transistor ,Stacking ,Electrical engineering ,02 engineering and technology ,Direct bonding ,Dopant Activation ,01 natural sciences ,7. Clean energy ,020202 computer hardware & architecture ,law.invention ,law ,0103 physical sciences ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Process optimization ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business ,Scaling - Abstract
session 5: 3D Systems and Packaging; International audience; 3D VLSI with a CoolCube™ integration allows vertically stacking several layers of devices with a unique connecting via density above a million/mm 2 . This results in increased density with no extra cost associated to transistor scaling, while benefiting from gains in power and performance thanks to wire-length reduction. CoolCube™ technology leads to high performance top transistors with Thermal Budgets (TB) compatible with bottom MOSFET integrity. Key enablers are the dopant activation by Solid Phase Epitaxy (SPE) or nanosecond laser anneal, low temperature epitaxy, low k spacers and direct bonding. New data on the maximal TB bottom MOSFET can withstand (with high temperatures but short durations) offer new opportunities for top MOSFET process optimization.
- Published
- 2015
- Full Text
- View/download PDF
49. Elaboration of Ni/InP contacts: Solid state reactions and associated mechanisms
- Author
-
E. Ghegin, Isabelle Sagnes, Fabrice Nemouchi, Khalid Hoummada, C. Perrin, János L. Lábár, S. Gurban, and S. Favier
- Subjects
Materials science ,Annealing (metallurgy) ,Metallurgy ,Nucleation ,Solid-state ,chemistry.chemical_element ,Amorphous solid ,Metal deposition ,chemistry.chemical_compound ,Nickel ,chemistry ,Chemical engineering ,Sputtering ,Indium phosphide - Abstract
The metallurgical properties of the Ni/n-InP system have been investigated. We report the formation of a compositionally nonuniform Ni-In-P amorphous layer during the DC sputtering metal deposition process which includes an Ar+ cleaning. During various heat treatments the simultaneous appearance of the Ni2P and Ni3P binary phases and the Ni2InP ternary phase were observed. For temperature equal to or greater than 350°C we highlighted the partition and precipitation of In. Thanks to RTP and longtime annealings we pointed out the predominance of diffusion on the formation of the Ni2P, Ni3P and Ni2InP phases and that of nucleation on the partition and precipitation of In.
- Published
- 2015
- Full Text
- View/download PDF
50. Considerations for efficient contact resistivity reduction via Fermi Level depinning - impact of MIS contacts on 10nm node nMOSFET DC characteristics
- Author
-
Thierry Poiroux, Perrine Batude, O. Rozeau, J. Borrel, M. Vinet, Louis Hutin, and Fabrice Nemouchi
- Subjects
Materials science ,Condensed matter physics ,business.industry ,Contact resistance ,Transistor ,Doping ,Fermi level ,Electrical engineering ,Insulator (electricity) ,law.invention ,symbols.namesake ,Semiconductor ,law ,Electrical resistivity and conductivity ,symbols ,business ,Ohmic contact - Abstract
In the overwhelming majority of cases, current-voltage characteristics of metal-based contacts on semiconductors are non-linear around 0V even for degenerate interfacial doping levels. Any contact resistivity specification is therefore meaningless without the knowledge of the effective bias across the contact. For the first time, the efficiency of a dielectric insertion for contact resistance reduction was properly evaluated by solving the self-consistent case of voltage sharing for an aggressively scaled transistor flanked by two trench Metal/ Insulator/Semiconductor (MIS) contacts. We found that leveraging the Fermi Level depinning via optimized MIS contacts could lead to a +92% drive current (V GS =V dd =0.7V) increase versus a Titanium liner-based silicidation-free approach.
- Published
- 2015
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.