107 results on '"Pei-Jer Tzeng"'
Search Results
2. Promising Engineering Approaches for Improving the Reliability of HfZrO x 2-D and 3-D Ferroelectric Random Access Memories
- Author
-
Po-Chun Yeh, Chih-I Wu, Y. D. Lin, Chrong Jung Lin, Ya-Chin King, Tuo-Hung Hou, and Pei-Jer Tzeng
- Subjects
010302 applied physics ,Materials science ,Condensed matter physics ,Polarization (waves) ,01 natural sciences ,Ferroelectricity ,Electronic, Optical and Magnetic Materials ,law.invention ,Non-volatile memory ,Tetragonal crystal system ,Capacitor ,Polarization density ,law ,0103 physical sciences ,Orthorhombic crystal system ,Electrical and Electronic Engineering ,Scaling - Abstract
The main challenge in ferroelectric (FE) random access memory (FRAM) scaling is to maintain a high polarization density on the vertical sidewall of 3-D FE capacitors. Two simple and effective methods—stress engineering and optimized interface orientation—are proposed to facilitate the preferential transition from the tetragonal to the orthorhombic phase for ferroelectricity. Four FE phase-progressive experiments were conducted for 2-D/3-D FRAMs with external stress sources and an interfacial layer (IL). Both 2-D and 3-D FRAMs show the wake-up free feature with the presence of both the external stressor and the optimized IL. To extract the sidewall polarization of 3-D FRAM, a set of testkeys was designed and studied. The 3-D FRAM shows an initial sidewall with good reliability and durability with ${P} _{r} = {18}\,\,\mu \text{C}$ /cm2 and endurance of up to 109 cycles. Furthermore, the retention test with the read mode of ${P} _{\text {0,switch}}$ and ${P} _{\text {1,switch}}$ at 85 °C was investigated, and the imprint effect was proved to be the main cause of retention loss.
- Published
- 2020
3. AlInGaN/GaN HEMTs with Different GaN Cap Layer on Low Resistivity Silicon Substrate
- Author
-
Hui-Yu Chen, Po-Tsung Tu, Po-Chun Yeh, Pei-Jer Tzeng, Shyh-Shyuan Sheu, Chih-I Wu, Indraneel Sanyal, and Jen-Inn Chyi
- Published
- 2022
4. Area Scalable Hafnium-Zirconium-Oxide Ferroelectric Capacitor Using Low-Temperature Back-End-of-Line Compatible 40°C Annealing
- Author
-
Tz-Shiuan Huang, Po-Chun Yeh, Hsin-Yun Yang, Yu-De Lin, Pei-Jer Tzeng, Shyh-Shyuan Sheu, Wei-Chung Lo, Chih-I Wu, and Tuo-Hung Hou
- Published
- 2022
5. A process for high yield and high performance carbon nanotube field effect transistors.
- Author
-
Tseng-Chin Lee, Bing-Yue Tsui, Pei-Jer Tzeng, Ching-Chiun Wang, and Ming-Jinn Tsai
- Published
- 2010
- Full Text
- View/download PDF
6. Fully Symmetric 3-D Transformers With Through-Silicon via IPD Technology for RF Applications
- Author
-
Sih-Han Li, Shawn S. H. Hsu, Jie Zhang, Chih-Sheng Lin, Shang-Chun Chen, Pei-Jer Tzeng, and Kuan-Wei Chen
- Subjects
010302 applied physics ,Materials science ,Through-silicon via ,020206 networking & telecommunications ,02 engineering and technology ,Integrated circuit ,Topology ,01 natural sciences ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,law.invention ,Inductance ,Planar ,law ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Interposer ,Equivalent circuit ,Electrical and Electronic Engineering ,Transformer ,Coupling coefficient of resonators - Abstract
This article presents the design, characterization, and modeling for the novel 3-D transformer structures based on the in-house developed 3-D integrated circuit (3-D IC) via-last backside-through-silicon via (TSV) interposer process. Differing from the conventional 2-D planar structure, the 3-D TSV design allows achieving a fully symmetric transformer with a compact size. The equivalent circuit model parameters are extracted based on the S-parameters to investigate the design tradeoff. With an inner diameter of $40~\mu \text{m}$ in the 3-D 1:1 transformer, the measured inductances of the primary and second coils are 439 and 482 pH with the $Q$ factors of 4.59 and 5.11, respectively, and the coupling coefficient is 0.136.
- Published
- 2019
7. Improving Edge Dead Domain and Endurance in Scaled HfZrOx FeRAM
- Author
-
Yu-De Lin, Po-Chun Yeh, Ying-Tsan Tang, Jian-Wei Su, Hsin-Yun Yang, Yu-Hao Chen, Chih-Pin Lin, Po-Shao Yeh, Jui-Chin Chen, Pei-Jer Tzeng, Min-Hung Lee, Tuo-Hung Hou, Shyh-Shyuan Sheu, Wei-Chung Lo, and Chih-I Wu
- Published
- 2021
8. In-Memory Annealing Unit (IMAU): Energy-Efficient (2000 TOPS/W) Combinatorial Optimizer for Solving Travelling Salesman Problem
- Author
-
Ming-Chun Hong, Le-Chih Cho, Chih-Sheng Lin, Yu-Hui Lin, Po-An Chen, I-Ting Wang, Pei-Jer Tzeng, Shyh-Shyuan Sheu, Wei-Chung Lo, Chih-I Wu, and Tuo-Hung Hou
- Published
- 2021
9. CMOS-compatible GaN HEMT on 200mm Si-substrate for RF application
- Author
-
Hsin-Yun Yang, Shyh-Shyuan Sheu, Fu Yi-Keng, Li-Heng Lee, Yuh-Renn Wu, Po-Chun Yeh, Po-Tsung Tu, Pei-Jer Tzeng, Hsueh-Hsing Liu, Chien-Hua Hsu, Wei-Chung Lo, and Chih-I Wu
- Subjects
Aluminum gallium nitride ,chemistry.chemical_compound ,Materials science ,Si substrate ,CMOS ,chemistry ,business.industry ,Optoelectronics ,Wafer ,Gallium nitride ,High-electron-mobility transistor ,business ,Cmos compatible - Abstract
In this work, we successfully fabricated AlGaN/GaN HEMT on 8-inch GaN-on-Si wafer utilizing CMOS BEOL compatible process, and demonstrate an AlGaN/GaN HEMT with L g = 250nm reaching f t /f max = 50/44 GHz. By semi-automatic RF measurements mapping in complete 8-inch wafer area, results exhibit average f t = 48GHz with NU = 7.6% and average f max = 42GHz with NU = 5%, revealing the outstanding uniformity of 8-inch standard CMOS manufacturing tools.
- Published
- 2021
10. Ultra-thin Hf0.5Zr0.5O2 Ferroelectric Tunnel Junction with High Current Density
- Author
-
Wei-Chung Lo, Yu-Hao Chen, Shyh-Shyuan Sheu, Pei-Jer Tzeng, Tuo-Hung Hou, Hsin-Hui Huang, Yueh-Hua Chu, Chih-I Wu, and Chien-Hua Hsu
- Subjects
010302 applied physics ,Materials science ,Polarity (physics) ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Ferroelectricity ,Cell resistance ,Tunnel junction ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Nanoscopic scale ,Current density ,Layer (electronics) ,High current density - Abstract
Ferroelectric tunnel junction (FTJ) with ultrathin 3 nm-thick Hf 0.5 Zr 0.5 O 2 (HZO) is investigated. The high current density up to 100 A/cm2 is at least 10 times higher than that in previously reported HZO FTJs. It is suitable for future nanoscale FTJ with a GΩ cell resistance for the application of in-memory computing. The insertion of a thin Al 2 O 3 interfacial layer is found critical to alter the switching polarity and increase current density.
- Published
- 2021
11. Platinum membrane on Ti/n-Si substrate for dopamine detection
- Author
-
Pei-Jer Tzeng, Jiantai Timothy Qiu, Anisha Roy, and Siddheswar Maikap
- Subjects
Horizontal scan rate ,Materials science ,Annealing (metallurgy) ,Analytical chemistry ,chemistry.chemical_element ,Peak current ,Membrane ,Si substrate ,chemistry ,Dopamine ,medicine ,Cyclic voltammetry ,Platinum ,medicine.drug - Abstract
Dopamine detection is investigated by using annealed platinum membrane on Ti/n-Si substrate for the first time. Oxidation/reduction peaks with and without dopamine in pH7 solution measured by cyclic-voltammetry (CV) are observed clearly. The sensor shows repeatable and stable CV curves. The oxidation/reduction peak currents increase with increasing scan rate. The peak current increases with increasing pH value. Dopamine with a low concentration of 1 μM and small sample volume of 10 μL is detected in current-time measurement within the short time of 5 s.
- Published
- 2020
12. Low-environmental-interference & highly-sensitive TMR biosensor for in-vitro diagnosis application
- Author
-
Shyh-Shyuan Sheu, Ding-Yeong Wang, Jeng-Hua Wei, Shih-Chieh Chang, Pei-Jer Tzeng, Chih-I Wu, H. Y. Lee, Guan-Long Chen, I-Jung Wang, Wei-Chung Lo, Yu-Chen Hsin, SK Ziaur Rahaman, Yi-Ching Kuo, Yao-Jen Chang, Shan-Yi Yang, Fang-Ming Chen, and Yi-Hui Su
- Subjects
Signal processing ,Tunnel magnetoresistance ,Materials science ,Interference (communication) ,business.industry ,Optoelectronics ,Sensitivity (control systems) ,business ,Biosensor ,Noise (electronics) ,Magnetic susceptibility ,Signal - Abstract
The Tunnel Magnetoresistance (TMR) biosensor with different magnetic tunnel junction (MTJ) aspect ratios was developed. With the specific designs and the process development, the sensing distance of the active sensor could be shortened to 74.2 nm to obtain larger magnetic bead (MB) induced signal and the reference sensor, whose sensing distance was 350 nm thicker than that of the active sensor, was used as the baseline during the detection to eliminate the environment noise. The simulation method which could well characterize the TMR element was also developed. Finally, the experimental results of the MB detection was shown. W1/L8 MTJ structure, whose resistance was $40 \mathrm{k}\Omega$, had the highest sensitivity $(0.444 \Omega /$MB). Overall, according to the various designs of the MTJ structures, the TMR biosensor with low environmental interference and high sensitivity could be used in variety of the in-vitro diagnosis of Point of Care (PoC) applications in future.
- Published
- 2020
13. Characterization of the ultrathin Hf[O.sub.2] and Hf-Silicate films grown by atomic layer deposition
- Author
-
Tze Chiang Chen, Cheng-Yi Peng, Chih-Hung Tseng, Ming-Han Liao, Mei-Hsin Chen, Chih-I Wu, Ming-Yau Chern, Pei-Jer Tzeng, and Chee Wee Liu
- Subjects
Dielectrics -- Electric properties ,Photoelectron spectroscopy -- Usage ,Silicates -- Electric properties ,Business ,Electronics ,Electronics and electrical industries - Abstract
The material and electrical modification of HF-based dielectrics at different annealing conditions and different Si incorporations is investigated. The X-ray photoelectron spectroscopy (XPS) results have indicated a great signature of the formation of a HF-silicate layer.
- Published
- 2007
14. Sensing characteristics of dopamine using Pt/n-Si structure
- Author
-
Anisha Roy, Pei Jer Tzeng, Jiantai Timothy Qiu, and Siddheswar Maikap
- Subjects
010302 applied physics ,Materials science ,Schottky barrier ,Analytical chemistry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Redox ,Surfaces, Coatings and Films ,law.invention ,Membrane ,Magazine ,Dopamine ,Transmission electron microscopy ,law ,0103 physical sciences ,medicine ,Crystallite ,0210 nano-technology ,Science, technology and society ,Instrumentation ,medicine.drug - Abstract
Dopamine sensing using a simple Pt/n-Si structure is investigated for the first time. Polycrystalline Pt membrane with a thickness of 3 nm in Pt/n-Si structure is observed by high-resolution transmission electron microscope image. This Pt/n-Si structure shows a good pH sensitivity of 0.58/pH by measuring current-time response at pH values from 2 to 10. Repeatable and stable sensing of dopamine with a low concentration of 10 pM are obtained. Dopamine with long concentration range of 10 pM to 1 μM is measured and the sensing mechanism is due to Schottky barrier height modulation through reduction-oxidation of Pt membrane in contact of dopamine. This study is useful for future early diagnosis of Parkinson's disease.
- Published
- 2020
15. Implementation of memory stacking on logic controller by using 3DIC 300mm backside TSV process integration
- Author
-
Shang-Chun Chen, Tzu-Chien Hsu, Chau-Jie Zhan, Jui-Chm Chen, Yu-Chen Hsm, Chia-Hsin Lee, Yung-Fa Chou, Chung-Chih Wang, Ding-Ming Kwai, Wei-Chung Lo, Tzu-Kun Ku, Tsuen-Sung Chen, Po-Chih Chang, Pei-Jer Tzeng, Hsiang-Hung Chang, Pei-Hua Wang, and Yiu-Hsiang Chang
- Subjects
Structure (mathematical logic) ,Engineering ,business.industry ,Controller (computing) ,Stacking ,Process (computing) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Logic gate ,Process integration ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,020201 artificial intelligence & image processing ,Wafer ,0210 nano-technology ,business ,Dram - Abstract
Technologies of backside via-last TSV (BTSV) 3DIC 300mm process integration are developed to be applied in industry cooperation and mass production business model view. In this work, a successful BTSV process integration is disclosed and applied on 65nm logic controller/45nm DRAM stacking structure. Key enabling process technologies in BTSV formation and thin wafer handling are discussed. The electrical measurement data and functional logic circuit test show the practicability of BTSV integration.
- Published
- 2016
16. Effects of Slurry in Cu Chemical Mechanical Polishing (CMP) of TSVs for 3-D IC Integration
- Author
-
Shang-Chun Chen, Shang Hung Shen, Yu Chen Hsin, Pei-Jer Tzeng, Sue-Chen Liao, Chi-Hon Ho, Jui-Chin Chen, Chien Chou Chen, Tzu-Kun Ku, John H. Lau, Ming-Jer Kao, Yi-Feng Hsu, Cha-Hsin Lin, and Chien-Ying Wu
- Subjects
Materials science ,Silicon ,Passivation ,Through-silicon via ,Metallurgy ,Oxide ,chemistry.chemical_element ,Polishing ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Chemical-mechanical planarization ,Slurry ,Wafer ,Electrical and Electronic Engineering - Abstract
In this paper, the optimization of Cu chemical-mechanical polishing (CMP) performance (dishing) for the removal of thick Cu-plating overburden due to Cu plating for deep through silicon via (TSV) in a 300-mm wafer is investigated. Moreover, backside isolation oxide CMP for TSV Cu exposure is examined. To obtain a minimum Cu dishing on the TSV region, a proper selection of Cu slurries is proposed for the current two-step Cu-polishing process. First, a bulk of Cu is removed with the slurry of high Cu removal rate and second, the Cu surface is planarized with the slurry of high Cu passivation capability. The Cu dishing can be improved up to 97% for the 10-μm-diameter TSVs on a 300-mm wafer. The dishing/erosion of the metal/oxide can be reduced with respect to a correspondingly optimized Cu-plating overburden for TSVs and redistribution layers. Cu metal dishing can be drastically reduced once the Cu overburdens are increased to a critical thickness. For backside isolation oxide CMP for TSV Cu exposure, the results show that the Cu studs of TSVs with a larger TSV diameter still keep in a plateau-like shape after CMP.
- Published
- 2012
17. Formation of Through-Glass-Via (TGV) by Photo-Chemical Etching with High Selectivity
- Author
-
Tzu-Kun Ku, Cha-Hsin Lin, Pei-Jer Tzeng, Zingway Pei, Ming-Jer Kao, Hsin-Chen Lai, and Jui-Po Sun
- Subjects
Work (thermodynamics) ,Materials science ,business.industry ,High selectivity ,Laser ,Isotropic etching ,Amorphous solid ,law.invention ,Pulsed laser deposition ,Optics ,law ,Etching (microfabrication) ,Automotive Engineering ,Optoelectronics ,Selectivity ,business - Abstract
In this work, we utilize a photo-chemical etching (PCE) method to form through-glass-via (TGV). The PCE is a low cost, damage-free and potentially large-area method for TGV formation. An ultra-violet (355 nm) pulse laser was used to illuminate the glass surface. The illuminated region will crystallize after thermal annealing in a furnace. The crystallized glass shows much faster etching rate than the amorphous region in HF solution. For a relatively thick (600 nm) glass, a via-hole with diameter of around 60 μm was demonstrated in laser energy of 11 J/cm2. No laser damages were observed. In comparison, at least 10 times higher energy was required to drill a glass directly. Micro-cracks were form around the glass-via. In addition, a 40 selectivity was achieved to the crystallized and amorphous region. This simple and useful method paves a straight road for 3-D integration.
- Published
- 2012
18. Oxide Liner, Barrier and Seed Layers, and Cu Plating of Blind Through Silicon Vias (TSVs) on 300 mm Wafers for 3D IC Integration
- Author
-
Chien-Ying Wu, Shang-Chun Chen, Pei-Jer Tzeng, John H. Lau, Yi-Feng Hsu, Jui-Chin Chen, Yu-Chen Hsin, Chien-Chou Chen, Shang-Hung Shen, Cha-Hsin Lin, Tzu-Kun Ku, and Ming-Jer Kao
- Subjects
Computer Networks and Communications ,Electrical and Electronic Engineering ,Electronic, Optical and Magnetic Materials - Abstract
In this study, key enabling technologies such as the oxide liner by the PECVD, the barrier and seed layers by the PVD, and Cu plating of blind TSVs on 300 mm wafers for 3D integration are investigated. Emphasis is placed on the determination and optimization of the important parameters for each of the key enabling technologies. Also, the leakage current of the fabricated Cu-filled TSVs is measured. Furthermore, cross sections and SEM of the fabricated TSVs are examined.
- Published
- 2012
19. Large Size Silicon Interposer and 3D IC Integration for System-in-Packaging (SiP)
- Author
-
Jui-Chin Chen, Shang-Chun Chen, Peng Su, Chien-Ying Wu, Li Li, Pei-Jer Tzeng, M. Brillhart, Jie Xue, Yu-Chen Hsin, Ming-Ji Dai, Ching-Kuan Lee, John H. Lau, and Chau-Jie Zhan
- Subjects
Materials science ,business.industry ,Three-dimensional integrated circuit ,Substrate (electronics) ,Chip ,Silicon interposer ,Soldering ,Automotive Engineering ,Interposer ,Electronic engineering ,Optoelectronics ,Bumping ,Wafer ,business - Abstract
The feasibility study of a 3D IC integration SiP is demonstrated in this investigation. The heart of this SiP is a TSV (through-silicon via) passive interposer (28mm × 28mm) with double sided wiring layers. This interposer is used to support a very large chip (22mm × 18mm) on its top-side and 2 smaller chips (10mm × 10mm) at its bottom-side (a truly 3D IC integration). The bottom side of this interposer is attached to an organic substrate (40mm × 40mm) (with ordinary lead-free solder bumps). The lead-free micro solder bumps (Cu/Sn) on all the chips are made by wafer bumping with a UBM (under bump metallurgy) of Ti/Cu and the bump structure of Cu and Sn.
- Published
- 2012
20. Thin Wafer Handling of 300mm Wafer for 3D IC Integration
- Author
-
Tzu-Kun Ku, T. H. Chen, Hsiang-Hung Chang, Ming-Jer Kao, Chun-Hsing Lee, John H. Lau, W. L. Tsai, Y. H. Chen, Ming-Ji Dai, Huan-Chun Fu, Pei-Jer Tzeng, C. W. Chiang, Tzu-Ying Kuo, Chau-Jie Zhan, Wei-Chung Lo, and C. H. Chien
- Subjects
Materials science ,business.industry ,Wafer backgrinding ,Dicing tape ,Die preparation ,Automotive Engineering ,Electronic engineering ,Interposer ,Optoelectronics ,Wafer testing ,Wafer ,Wafer dicing ,business ,Probe card - Abstract
In this study, thin wafer handling of 300mm wafer for 3D IC Integration is investigated. Emphasis is placed on the determination of the effect of a dicing tape on thin-wafer handling of wafers with Cu-Au pads, Cu-Ni-Au UBM, and TSV interposer with RDL. Also, thin-wafer handling critical issues such as the chip/interposer wafer, carrier wafer, temporary bonding, thinning, backside process, de-bonding, and assembly are presented and their potential solutions are discussed. Finally, state-of-the-art of materials and equipments for thin-wafer handling are examined.
- Published
- 2011
21. Feasibility Study of a 3D IC Integration System-in-Packaging (SiP) from a 300mm Multi-Project Wafer (MPW)
- Author
-
Chien-Chou Chen, Y.-M. Lin, Tzu-Kun Ku, Shyh Shyuan Sheu, T.-C. Chang, John H. Lau, Shih-Hsien Wu, W.-L. Tsai, T. H. Chen, W. Li, Chien-Ying Wu, C.-W. Chiang, C.-D. Ko, C.-H. Chien, S.-Y. Huang, Heng-Chieh Chien, Yu-Lin Chao, Ra-Min Tain, C.-H. Lin, Yu-Hua Chen, Wei-Chung Lo, C.-J. Zhan, Hsiang-Hung Chang, R.-S. Cheng, D.-C. Hu, Shang-Chun Chen, Ming-Jer Kao, Jui-Chin Chen, Ming-Ji Dai, Sheng-Tsai Wu, Yu-Chen Hsin, C.-K. Lee, Pei-Jer Tzeng, and Jui-Feng Hung
- Subjects
Engineering ,business.industry ,Three-dimensional integrated circuit ,Chip ,Printed circuit board ,Chemical-mechanical planarization ,Automotive Engineering ,Electronic engineering ,Interposer ,SMT placement equipment ,Optoelectronics ,Redistribution layer ,Wafer ,business - Abstract
The feasibility of a 3D IC integration SiP has been demonstrated in this investigation. The heart of this SiP is a TSV (through-silicon via) interposer with RDL (redistribution layer) on both sides, IPD (integrated passive devices) and SS (stress sensors). This interposer is used to support (with microbumps) a stack of four memory chips with TSVs, one thermal chip with heater and one mechanical chip with SS, and then overmolded on its top side for pick and place purposes. The interposer’s bottom-side is attached to an organic substrate (with ordinary lead-free solder bumps), which is lead-free solder-balled on a PCB (printed circuit board). Key enabling technologies such as TSV etching, chemical mechanical polishing (CMP), thin-wafer handling, thermal management, and microbumping, assembly and reliability are highlighted.
- Published
- 2011
22. Oxide Liner, Barrier and Seed Layers, and Cu-Plating of Blind Through Silicon Vias (TSVs) on 300mm Wafers for 3D IC Integration
- Author
-
Chien-Chou Chen, Yi-Feng Hsu, Shang-Chun Chen, Shang-Hung Shen, Chien-Ying Wu, Tzu-Kun Ku, John H. Lau, Cha-Hsin Lin, Jui-Chin Chen, Pei-Jer Tzeng, Yu-Chen Hsin, and Ming-Jer Kao
- Subjects
Materials science ,Silicon ,business.industry ,Oxide ,chemistry.chemical_element ,Three-dimensional integrated circuit ,chemistry.chemical_compound ,chemistry ,Plasma-enhanced chemical vapor deposition ,Automotive Engineering ,Electronic engineering ,Optoelectronics ,Wafer ,business ,Leakage (electronics) - Abstract
In this study, key enabling technologies such as the oxide liner by the PECVD, the barrier and seed layers by the PVD, and Cu-plating of blind TSVs on 300mm wafers for 3D integration are investigated. Emphases are placed on the determination and optimization of the important parameters for each of the key enabling technologies. Also, leakage currents of the fabricated Cu-filled TSVs are measured. Furthermore cross sections and SEM of the fabricated TSVs are provided and examined.
- Published
- 2011
23. A process for high yield and high performance carbon nanotube field effect transistors
- Author
-
Pei Jer Tzeng, Ming Jinn Tsai, Ching Chiun Wang, Tseng Chin Lee, and Bing-Yue Tsui
- Subjects
Yield (engineering) ,Materials science ,business.industry ,Transconductance ,Process (computing) ,Nanotechnology ,Carbon nanotube ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Carbon nanotube field-effect transistor ,CMOS ,Nanoelectronics ,law ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality ,business - Abstract
Carbon nanotube field effect transistors (CNTFETs) have been considered as one of the potential candidates for nanoelectronics beyond Si CMOS. However, it is not easy to have high performance CNTFETs with high yield currently. In this work, we proposed a local bottom-gate (LBG) CNTFETs combined with a novel device concept and optimized process technologies. High performance of CNTFET with low subthreshold swing of 139 mV/dec, high transconductance of 1.27 μS, and high Ion/Ioff ratio of 106 can be easily obtained with Ti source/drain contact after a post annealing process. Record high yield of 74% has been demonstrated. On the basis of the proposed process, lots of high performance CNTFETs can be obtained easily for advanced study on the electrical characteristics of CNTFETs in the future.
- Published
- 2010
24. Thermally Stable Hf-silicate with Modification of Si Doping and Thermal Budget in MIM Capacitors
- Author
-
Lurng-Shehng Lee, Cha-Hsin Lin, Pei-Jer Tzeng, Ming-Jin Tsai, Ching-Chiun Wang, and Tai-Yuan Wu
- Subjects
chemistry.chemical_compound ,Capacitor ,Materials science ,chemistry ,law ,Thermal ,Doping ,Electronic engineering ,Composite material ,Silicate ,law.invention - Abstract
For the first time, modification of Si doping in Hf-silicates with respect to thermal budget is investigated. Tetragonal phase of HfO2 in Hf-silicate leads to higher dielectric constant after a critical point of thermal budget. Lower critical point (lower thermal budget) is needed for Hf-silicate with a lower Si doping. The tetragonal phase induced higher dielectric constant tends to remain constant even with longer annealing time while the leakage current tends to increase. The optimum condition is a trade-off between the pro of tetragonal phase and the con of the leakage current.
- Published
- 2008
25. Novel SONOS – type nonvolatile memory device with stacked tunneling and charge trapping layers
- Author
-
Lung-Sheng Lee, Tai-Yu Wu, Ming-Jin Tsai, Kuei-Shu Chang-Liao, Pei-Jer Tzeng, Tien-Ko Wang, Ping-Hung Tsai, and Cha-Hsin Lin
- Subjects
Materials science ,business.industry ,Electrical engineering ,Oxide ,Semiconductor memory ,Trapping ,Semiconductor device ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Non-volatile memory ,chemistry.chemical_compound ,Tunnel effect ,Atomic layer deposition ,chemistry ,Hardware_GENERAL ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Quantum tunnelling ,Hardware_LOGICDESIGN - Abstract
Operation properties of polysilicon–oxide–nitride–oxide–silicon (SONOS)-type nonvolatile semiconductor memory (NVM) devices with stacked tunneling and charge trapping layers were investigated in this work. Clear enhancement on operation speed and satisfactory retention of NVM device were achieved by adopting stacked tunneling oxide. Enhancement on programming speed but degradation on erasing operation was observed for device with stacked charge trapping layer. Finally, operating characteristics of devices with stacked tunneling oxide, stacked charge trapping layer, and combining both stacked tunneling oxide and charge trapping layer were compared and discussed.
- Published
- 2008
26. Feedback control of HfO2 etch processing in inductively coupled Cl2/N2/Ar plasmas
- Author
-
Lurng-Shehng Lee, Ting-Chieh Li, Chaung Lin, Keh-Chyang Leou, and Pei-Jer Tzeng
- Subjects
business.industry ,Chemistry ,Analytical chemistry ,Ion current ,Surfaces and Interfaces ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Ion ,Root mean square ,Etching (microfabrication) ,Optoelectronics ,Wafer ,Inductively coupled plasma ,business ,Electrical impedance ,Voltage - Abstract
The etch rate of HfO2 etch processing has been feedback controlled in inductively coupled Cl2/N2/Ar plasmas. The ion current and the root mean square rf voltage on the wafer stage, which are measured using a commercial impedance meter connected to the wafer stage, are chosen as controlled variables because the positive-ion flux and ion energy incident upon the wafer surface are the key factors that determine the etch rate. Two 13.56 MHz rf generators are used to adjust the inductively coupled plasma power and bias power which control ion density and ion energy, respectively. The adopted HfO2 etch processing used rather low rf voltage. The ion-current value obtained by the power/voltage method is underestimated, so the neural-network model was developed to assist estimating the correct ion-current value. The experimental results show that the etch-rate variation of the closed-loop control is smaller than that of the open-loop control. However, the first wafer effect cannot be eliminated using closed-loop c...
- Published
- 2008
27. Using Spike-Anneal to Reduce Interfacial Layer Thickness and Leakage Current in Metal–Oxide–Semiconductor Devices with TaN/Atomic Layer Deposition-Grown HfAlO/Chemical Oxide/Si Structure
- Author
-
Bo An Tsai, Kuei Shu Chang-Liao, Pei Jer Tzeng, Hsin Yi Peng, Chih-Wei Luo, and Yao-Jen Lee
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,General Engineering ,Oxide ,General Physics and Astronomy ,Nanotechnology ,Layer thickness ,Metal ,Atomic layer deposition ,Hysteresis ,chemistry.chemical_compound ,Oxide semiconductor ,chemistry ,visual_art ,visual_art.visual_art_medium ,Optoelectronics ,SILC ,business ,Layer (electronics) - Abstract
In this study, the characteristics of Ta/chemical SiO2/Si devices with their chemical oxides formed by various chemicals, including HNO3, SC1, and H2SO4+H2O2 solutions, were first investigated. We found the HNO3 split depicts the lowest leakage current and the best hysteresis behavior. Next, chemical oxide formed by HNO3 was applied to form the interfacial SiO2 layer for metal–oxide–semiconductor (MOS) devices with Ta/HfAlO/chemical SiO2/Si structrue. The effects of a high-temperature spike anneal were then studied. We found that the spike-anneal process can effectively reduce the thickness of the chemical oxide from 10 to 7 A, thus is beneficial in preserving the low effective oxide thickness (EOT) of the structure. Furthermore, both the gate leakage current and stress-induced leakage current (SILC) were also effectively suppressed by the high-temperature spike-anneal.
- Published
- 2008
28. Low Voltage Operation of High-κ HfO2/TiO2/Al2O3Single Quantum Well for Nanoscale Flash Memory Device Applications
- Author
-
Heng-Yuan Lee, Cha-Hsin Lin, Ching-Chiun Wang, Lurng-Shehng Lee, Ting-Yu Wang, Jer-Ren Yang, Pei-Jer Tzeng, Siddheswar Maikap, and Ming-Jinn Tsai
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,General Engineering ,General Physics and Astronomy ,Charge (physics) ,Nanotechnology ,Flash memory ,law.invention ,Non-volatile memory ,Atomic layer deposition ,Capacitor ,law ,Optoelectronics ,business ,Low voltage ,Quantum well ,High-κ dielectric - Abstract
Memory characteristics of the high-κ HfO2/TiO2/Al2O3 single quantum well in a p-Si/Al2O3/HfO2/TiO2/Al2O3/platinum capacitor structure have been investigated. All high-κ films have been deposited by atomic layer deposition. A significant memory window of ~1.6 V at a low gate voltage operation of 2 V, a high charge storage density of ~3.6×1012/cm2, a moderate leakage current density of 1×10-6/cm2 at a gate voltage of -2 V, and a negligible charge loss of
- Published
- 2008
29. Effects of in situ N2 plasma treatment on etch of HfO2 in inductively coupled Cl2∕N2 plasmas
- Author
-
Lurng-Shehng Lee, Ting-Chieh Li, Keh-Chyang Leou, Chaung Lin, Yeou-Chung Fan, Pei-Jer Tzeng, and Kuei-Hui Chang
- Subjects
Materials science ,Silicon ,fungi ,technology, industry, and agriculture ,Analytical chemistry ,chemistry.chemical_element ,macromolecular substances ,Surfaces and Interfaces ,Substrate (electronics) ,Plasma ,Condensed Matter Physics ,Surfaces, Coatings and Films ,stomatognathic system ,chemistry ,X-ray photoelectron spectroscopy ,Etch pit density ,Etching (microfabrication) ,Thin film ,Nitriding - Abstract
The etch selectivity of HfO2 to Si reported to date is poor. To improve the selectivity, one needs to either increase the etch rate of HfO2 or decrease the etch rate of Si. In this work, the authors investigate the etch selectivity of HfO2 in Cl2∕N2 plasmas. In particular, the effects of in situ N2 plasma treatment of HfO2 and Si were investigated. The silicon substrate was exposed to nitrogen plasma and was nitrided, which was confirmed by x-ray photoelectron spectroscopy. The nitrided Si etching was suppressed in Cl2∕N2 plasmas. The effectiveness of nitridation was studied with varying the plasma power, bias power, pressure, and N2 plasma exposure time. The results show that the etch resistance increased with increased power and decreased pressure. A minimum exposure time was required to obtain etch resistant property. The applied bias power increased the etch rate of Si substrate, so it should not be used during N2 plasma treatment. Fortunately, the etch rate of HfO2 was increased by the nitridation pr...
- Published
- 2007
30. Low-Power Switching of Nonvolatile Resistive Memory Using Hafnium Oxide
- Author
-
Heng-Yuan Lee, Cha-Hsin Lin, Ching-Chiun Wang, Pang-Shiu Chen, Lurng-Shehng Lee, Pei-Jer Tzeng, Siddheswar Maikap, and Ming-Jinn Tsai
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,General Engineering ,General Physics and Astronomy ,chemistry.chemical_element ,Nitride ,engineering.material ,Anode ,Resistive random-access memory ,Non-volatile memory ,Atomic layer deposition ,chemistry ,Electrode ,engineering ,Optoelectronics ,Noble metal ,Tin ,business - Abstract
Nonstoichiometric hafnium oxide (HfOx) resistive-switching memory devices with low-power operation have been demonstrated. Polycrystalline HfOx (O:Hf=1.5:1) films with a thickness of 20 nm are grown on a titanium nitride (TiN) bottom electrode by commercial atomic layer deposition. Platinum (Pt) as a top electrode is used in the memory device. Voltage-induced resistance switching is repeatedly observed in the Pt/HfOx/TiN/Si memory device with resistance ratio is greater than 10. During the switching cycles, the power consumptions for high- and low-resistance states are found to be 0.25 and 0.15 mW, respectively. At 85 °C, the memory device shows stable resistance switching and superior data retention with resistance ratio is greater than 100. In addition, our memory device shows little area dependence of resistance-switching behavior. The anodic electrode containing noble metal Pt serves an important role in maintaining stable resistance switching. The resistance switching in the HfOx films is thought to be due to the defects that are generated by the applied bias. The nonstoichiometric HfOx films are responsible for the low SET and RESET currents during switching. Our study shows that the HfOx resistive-switching memory is a promising candidate for next-generation nonvolatile memory device applications.
- Published
- 2007
31. TiO2Nanocrystal Prepared by Atomic-Layer-Deposition System for Non-Volatile Memory Application
- Author
-
Pei-Jer Tzeng, Cha-Hsin Lin, Heng-Yuan Lee, Ching-Chiun Wang, Ming-Jinn Tsai, Siddheswar Maikap, and Lurng-Shehng Lee
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,Annealing (metallurgy) ,Metallurgy ,Critical factors ,General Engineering ,General Physics and Astronomy ,chemistry.chemical_element ,Non-volatile memory ,Atomic layer deposition ,chemistry ,Nanocrystal ,Chemical engineering ,Rapid thermal annealing ,Tin - Abstract
TiO2 nanocrystals were successfully fabricated using an atomic-layer-deposition (ALD) system. A TiN/Al2O3-laminated structure was employed as a starting structure, and after appropriate annealing, TiN was oxidized and TiO2 nanocrystals were formed. Experimental results indicate that rapid thermal annealing (RTA) temperature and annealing time are very critical factors. Also, the thickness of each TiN layer in the TiN/Al2O3-laminated starting structure is critical for TiO2 nanocrystal formation. Capacitance–voltage (C–V) measurement evidenced that an optimal annealing condition exists and an optimal annealing temperature and annealing time mainly depend on the thickness of each TiN layer in the TiN/Al2O3-laminated starting structure.
- Published
- 2007
32. HfO2/HfAlO/HfO2Nanolaminate Charge Trapping Layers for High-Performance Nonvolatile Memory Device Applications
- Author
-
Siddheswar Maikap, Cha-Hsin Lin, Heng-Yuan Lee, Lurng-Shehng Lee, Pei-Jer Tzeng, Jer-Ren Yang, Ming-Jinn Tsai, Ching-Chiun Wang, and Ting-Yu Wang
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,General Engineering ,General Physics and Astronomy ,Nanotechnology ,Trapping ,law.invention ,Non-volatile memory ,Atomic layer deposition ,Hysteresis ,Capacitor ,law ,Optoelectronics ,business ,Current density ,Layer (electronics) ,High-κ dielectric - Abstract
A high-κ HfO2/HfAlO/HfO2 nanolaminate charge trapping layer in a p-Si/SiO2/[HfO2/HfAlO/HfO2 nanolaminate]/Al2O3/platinum memory capacitor has been investigated. High-κ HfO2, Al2O3, and HfO2/HfAlO/HfO2 nanolaminate charge trapping layers are deposited by atomic layer deposition. Well-behaved counter clockwise capacitance–voltage hysteresis characteristics are observed for all memory capacitors. A large memory window of ~10 V, a very low leakage current density of ~5×10-9 A/cm2 at a gate voltage of -5 V, a high charge trapping density of ~1.6×1013/cm2, and a low charge loss of ~20% after 10 years of retention for the HfO2/HfAlO/HfO2 nanolaminate charge trapping layer are observed as compared with those of pure HfO2 and pure Al2O3 charge trapping layers. Excellent memory characteristics of HfO2/HfAlO/HfO2 nanolaminate layers are obtained owing to the layer-by-layer charge storage. High-κ HfO2/HfAlO/HfO2 nanolaminate charge trapping layers can be used in future nanoscaled high-performance nonvolatile memory device applications.
- Published
- 2007
33. Characterization of the Ultrathin $\hbox{HfO}_{2}$ and Hf-Silicate Films Grown by Atomic Layer Deposition
- Author
-
Chih-Hung Tseng, Chee-Wee Liu, Mei-Hsin Chen, Ming-Han Liao, Tze Chiang Chen, Chih-I Wu, Cheng-Yi Peng, Pei-Jer Tzeng, and Ming-Yau Chern
- Subjects
Annealing (metallurgy) ,Chemistry ,Analytical chemistry ,Infrared spectroscopy ,Chemical vapor deposition ,Electronic, Optical and Magnetic Materials ,law.invention ,Atomic layer deposition ,X-ray photoelectron spectroscopy ,law ,Electrical and Electronic Engineering ,Crystallization ,Spectroscopy ,High-κ dielectric - Abstract
The physical properties of HfO2 and Hf-silicate layers grown by the atomic layer chemical vapor deposition are characterized as a function of the Hf concentration and the annealing temperature. The peaks of Fourier transform infrared spectra at 960, 900, and 820 cm-1 originate from Hf-O-Si chemical bonds, revealing that a Hf-silicate interfacial layer began to form at the HfO2/SiO 2 interface after post deposition annealing process at 600 degC for 1 min. Moreover, the intensity of the peak at 750 cm-1 can indicate the degree of crystallization of HfO2. The formed Hf-silicate layer between HfO2 and SiO2 is also confirmed by X-ray photoelectron spectroscopy
- Published
- 2007
34. Fully 3-D symmetrical TSV monolithic transformer for RFIC
- Author
-
Chiung-Hung Wang, Tzu-Kun Ku, Shyh-Shyuan Sheu, Chun-Te Lin, Shawn S. H. Hsu, Pei-Ling Tseng, Wei-Chung Lo, Sih-Han Li, and Pei-Jer Tzeng
- Subjects
Engineering ,business.industry ,HFSS ,Electrical engineering ,Integrated circuit ,law.invention ,Inductance ,law ,Q factor ,RFIC ,Optoelectronics ,Differential circuits ,Wafer ,business ,Transformer - Abstract
Two integrated passive device (IPD) structures are proposed in this paper. The first structure is a differential symmetrical through-silicon via (TSV) monolithic transformer that exhibited optimal symmetry between the two ends of the primary and secondary coils, enabling the operation of a differential circuit. The second structure is a fully 3-D symmetrical TSV monolithic transformer that differs from 3-D TSV transformers proposed in the literature. In addition to the optimal symmetry between the two ends of the primary and secondary coils, the primary and secondary coils also exhibited optimal symmetry. In this study, test keys with 1∶2 and 1∶3 transformer turns ratios were applied to the structure of a differential symmetrical transformer. The fully 3-D symmetrical TSV monolithic structure was designed as a test key with a true 1∶1 turns ratio. The TSV of all test keys exhibited a 5 µm diameter, 60 µm depth, and 20 µm pitch. These test keys were simulated using HFSS, a fully 3-D simulator, and fabricated on a 300 mm wafer by using the ITRI's 3-D integrated circuit back-end-of-line process. The simulation results indicated that the inductance of the primary and second coils was 514 and 520 pH, respectively. The coils exhibited a Q factor of 12.08 and 11.98, respectively, when operated at 15 GHz, and the relative difference between the two coils was 1.15%. Compared with transformer structures proposed in the literature, the structure proposed in the present study exhibited superior symmetry between the primary and secondary coils.
- Published
- 2015
35. Process integration and 3D chip stacking for low cost backside illuminated CMOS image sensor
- Author
-
Ding-Ming Kwai, Jen-Chun Wang, Hsiang-Hung Chang, Chung-Chih Wang, Cheng-Ta Ko, Pei-Jer Tzeng, Chau-Jie Zhan, Chia-Hsin Lee, Zhi-Cheng Hsiao, Yu-Chen Hsin, Yung-Fa Chou, Chun-Hsien Chien, Yu-Wei Huang, Ting-Sheng Chen, Wei-Chung Lo, Cha-Hsin Lin, Tzu-Kun Ku, and Ming-Jer Kao
- Subjects
Materials science ,business.industry ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Process (computing) ,Electrical engineering ,Stacking ,Three-dimensional integrated circuit ,Hardware_PERFORMANCEANDRELIABILITY ,Direct bonding ,Chip ,Process integration ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,Image sensor ,business - Abstract
A novel low cost backside illuminated CMOS image sensor structure is proposed in this research. By using thin wafer handling technology, the wafer is thinned down to less than 5 μm and no TSV and direct bonding process are needed in this low cost solution. The processed backside illuminated CMOS image sensor is then stacked with analog to digital conversion chip and image signal processor by 3DIC technology. A 3-Mega pixel image is captured and demonstrated in this research.
- Published
- 2015
36. Low-cost 3DIC process technologies for wide-I/O memory cube
- Author
-
Chung-Chih Wang, Chien-Chou Chen, Tzu-Chien Hsu, Tzu-Kun Ku, Cha-Hsin Lin, Jui-Chin Chen, Shang-Chun Chen, Po-Chih Chang, Yiu-Hsiang Chang, Pei-Jer Tzeng, Erh-Hao Chen, and Yu-Chen Hsin
- Subjects
Speedup ,Computer science ,Process integration ,Hardware_INTEGRATEDCIRCUITS ,Stacking ,Process (computing) ,Electronic engineering ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Wafer ,Process costing ,Hardware_PERFORMANCEANDRELIABILITY ,Cube ,Dram - Abstract
Low-cost 3DIC process approaches are investigated in terms of 3D stacking method and TSV process integration scheme. The permanent and bumpless wafer-to-wafer (PBWW) bonding technology can be applied to the DRAM wafers in the wide-I/O memory cube application. Backside TSV process with its electrical characteristics is also studied. The combination of these two process technologies can further lower the overall process cost and speed up the mass production.
- Published
- 2015
37. Microstructural Evolution of Metal–Insulator–Metal Capacitor Prepared by Atomic-Layer-Deposition System at Elevated Temperature
- Author
-
W. M. Lo, C. H. Lin, Pei-Jer Tzeng, C. S. Liang, Ming-Jinn Tsai, Shen-Chuan Lo, Y. W. Chou, Ching-Chiun Wang, H. Y. Li, and Lurng-Shehng Lee
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,General Engineering ,Analytical chemistry ,Nucleation ,Energy-dispersive X-ray spectroscopy ,General Physics and Astronomy ,chemistry.chemical_element ,Titanium nitride ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,Transmission electron microscopy ,Phase (matter) ,Tin ,Layer (electronics) - Abstract
The rougher surface and raised-hollow holes were observed in the TiN/Al2O3/HfO2/Al2O3/TiN/SiO2/Si multi-stack metal–insulator–metal (MIM) capacitor sample after 1000 °C, 60 s rapid thermal annealing (RTA) process in N2. The surface became rougher partially resulted from the nucleation of TiN layer after RTA. Experimental results also indicated that the oxygen content in the HfO2 was desorbed and the remaining Hf was crystallized. Also, the TiN and Al2O3 layers were intermixed and partially re-crystallized at this high temperature. Very clear morie fringes could be observed in the high-resolution transmission electron microscopy (HR-TEM) micrographs. Also, the energy dispersive spectroscopy (EDS) results indicated that the nitrogen in TiN was desorbed and the TiN was phase transformed to TiO2. This phenomenon might result from the TiN oxidation process during the high-temperature thermal annealing.
- Published
- 2006
38. Physical and reliability characteristics of Hf-based gate dielectrics on strained-Si/sub 1-x/Ge/sub x/ MOS devices
- Author
-
Peng-Shiu Chen, Yu-Wei Chou, Chieh-Shuo Liang, Pei-Jer Tzeng, Siddheswar Maikap, and Lurng-Shehng Lee
- Subjects
Materials science ,Transconductance ,Analytical chemistry ,Dielectric ,Chemical vapor deposition ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,PMOS logic ,MOSFET ,Electronic engineering ,Breakdown voltage ,Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality ,Leakage (electronics) - Abstract
The physical and reliability characteristics of strained-Si/sub 0.8/Ge/sub 0.2/ MOS capacitor and strained-Si/sub 0.7/Ge/sub 0.3/ MOSFET with Hf-based gate dielectrics prepared by atomic layer chemical vapor deposition are investigated. The thickness and composition of the gate dielectrics are measured by high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy, respectively. The gate leakage current and interface traps of HfO/sub 2//Si/sub 1-x/Ge/sub x/ gate structure are slightly higher as compared to the HfO/sub 2//Si MOS devices, which is basically caused by the Ge at the interface. The electrical properties of both HfO/sub 2//Si and HfO/sub 2//Si/sub 1-x/Ge/sub x/ devices can be improved with increasing PDA temperature up to 800/spl deg/C, which is due to the thicker interfacial layer grown at the interface, even though crystallization also grows with increasing temperature. However, with higher PDA temperature (>800/spl deg/C), serious crystallization of HfO/sub 2/ film causes more bulk traps induced electrical degradation. The electrical stress induced degradation of Si/sub 1-x/Ge/sub x/ substrate is slightly higher as compared to the control Si, due to more traps generations at the HfO/sub 2//Si/sub 1-x/Ge/sub x/ interface. For MOSFET, strained-Si/sub 1-x/Ge/sub x/ can effectively improve the drain current for about 20% at saturation and 69% at linear region. The higher gate leakage (J/sub g//spl sim/1.4/spl times/10/sup -9/A/cm/sup 2/ at 2 V) and lower breakdown voltage (BD/spl sim/3.1 V) of Si/sub 0.7/Ge/sub 0.3/ pMOS devices are observed as compared to control Si devices (J/sub g//spl sim/7.9/spl times/10/sup -12/A/cm/sup 2/ at 2 V and BD/spl sim/7.4 V). After the electrical stress, the degradation of drain current and transconductance and the shift of threshold voltage for Si/sub 1-x/Ge/sub x/ PMOSFET are larger than those for control Si devices, implying Ge induced trap generation at the Hf-silicate/Si/sub 1-x/Ge/sub x/ interface.
- Published
- 2005
39. Plasma-charging effects on submicron MOS devices
- Author
-
Kuei-Shu Chang-Liao, Yi-Yuan Chang, Chun-Chen Yeh, Mu-Yi Liu, Chien-Hung Liu, Bone-Fong Wu, Pei-Jer Tzeng, and Chih-Chiang Chen
- Subjects
Amorphous silicon ,Materials science ,business.industry ,Gate dielectric ,Electrical engineering ,Dielectric ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,chemistry.chemical_compound ,chemistry ,Electrode ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Metal gate ,Diode ,High-κ dielectric - Abstract
Plasma-charging damage on gate dielectrics of MOS devices is an important issue because of shrinking dimension, plasma nonuniformity, and effects on high-k gate dielectrics. A comprehensive study of plasma-charging effects on the electrical properties of MOS devices was investigated in this work. Shunt diodes were used to estimate the charging polarity distribution. For high-frequency application, the 1/f noise was found to be a promising index for assessing plasma-charging damage. Gate oxynitride formed by two-step nitridation was demonstrated to have better electrical reliability as compared to the conventional one-step nitridation, especially accompanied by amorphous silicon gate electrode. This improvement could be attributed to the relaxation of interface stress by amorphous silicon gate electrode and the suppression of hydrogen effects by gate oxynitride using two-step nitridation. Plasma-charging damage on Si/sub 3/N/sub 4/ and Ta/sub 2/O/sub 5/ gate dielectrics with high dielectric constant was also investigated. For MOS devices with Si/sub 3/N/sub 4/ film, the leakier characteristic and shorter time to breakdown reveal its inferior reliability. For MOS devices with Ta/sub 2/O/sub 5/ gate dielectric, the trap-assisted current mechanism makes a thicker physical thickness of Ta/sub 2/O/sub 5/ film more susceptible to plasma-charging-induced damage. Smaller physical thickness of Ta/sub 2/O/sub 5/ film in MOS devices is favorable due to the better reliability and comparable plasma-induced electrical degradation.
- Published
- 2002
40. Three-tier chips stack with TSVs for backside illuminated image sensor/ADC/ISP
- Author
-
Ting-Sheng Chen, Chau-Jie Zhan, Yu-Chen Hsin, Cheng-Ta Ko, Ming-Jer Kao, Wei-Chung Lo, C. H. Chien, Pei-Jer Tzeng, Zhi-Cheng Hsiao, and Hsiang-Hung Chang
- Subjects
Wire bonding ,Materials science ,business.industry ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Hardware_PERFORMANCEANDRELIABILITY ,Thermocompression bonding ,Chip ,Printed circuit board ,CMOS ,Stack (abstract data type) ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,Wafer ,Image sensor ,business - Abstract
In this research, a new structure and process integration for backside illuminated CMOS image sensor by using thin wafer handling technology is proposed. First of all, the wafer of a 3 Mega pixel CMOS image sensor is temporary bonded to a silicon carrier wafer with thermal plastic material and ZoneBond technology. Then the CMOS wafer is thinned down to less than 5 µm for light detection from the backside. After thinning process, the backside is permanently bonded to a 500μm-thick glass carrier substrate with a transparent thermal set bonding material. After thinning, the total thickness variation of 1μm is obtained because the thermal plastic material flow during bonding process resulted in excellent thickness uniformity. The proposed backside illuminated CMOS image sensor structure and process integration are processed in wafer level, therefore enabling a low cost technology which can be manufactured using existing infrastructure. In the assembly process of CMOS image sensor stack, firstly the analog-to-digital conversion chip was stacked onto the image signal processor chip by thermal compression bonding. Optimized bonding conditions of 250 ℃/5 sec under the bonding force of 13N were chosen and determined. Subsequently, after the stack of analogto-digital conversion chip onto the image signal processor chip, the CMOS image sensor chip was bonded onto the image signal processor/analog-to-digital conversion stack. To connect all the solder bumps between CMOS image sensor and analog-to-digital conversion chip, the higher bonding force of 18N was selected. Joined-well solder joints between these two chips could be achieved. Finally, the stacked CMOS image sensor module was attached to a print circuit board by adhesive material and wire bonding was conducted to finish the electrical connectivity between CMOS image sensor stack and print circuit board.
- Published
- 2014
41. Process integration for backside illuminated image sensor stacked with Analog-to-Digital Conversion chip
- Author
-
Jen-Chun Wang, Pei-Jer Tzeng, Cheng-Ta Ko, Chau-Jie Zhan, Ting-Sheng Chen, S. M. Lee, C. H. Lee, Ming-Jer Kao, C. H. Chien, Yu-Wei Huang, Wei-Chung Lo, Hsiang-Hung Chang, Yuan-Chang Lee, and Zhi-Cheng Hsiao
- Subjects
Die preparation ,Materials science ,CMOS ,business.industry ,Etching (microfabrication) ,Anodic bonding ,Electronic engineering ,Optoelectronics ,Wafer testing ,Wafer ,Image sensor ,business ,Wafer-level packaging - Abstract
In this research, a new structure and process integration for backside illuminated CMOS image sensor by using thin wafer handling technology is proposed. First of all, the wafer of a 3 Mega pixel CMOS image sensor is temporary bonded to a silicon carrier wafer with thermal plastic material and ZoneBond technology. Then the CMOS wafer is thinned down to few microns to detect the light from the backside. The backside is then permanently bonded to a glass carrier substrate with a transparent thermal set bonding material. After the glass permanent bonding process, the temporary bonded silicon carrier could be removed. Cu/Sn micro-bump is fabricated at the front-side of the CMOS image sensor, thus no TSVs are needed in the proposed structure. A 3 Mega pixel CMOS wafer with micro-bumps bonded on 500µm-thick glass wafer is demonstrated. Void-free bonding is obtained both in temporary bonding and permanent bonding processes. The thickness of the CMOS image sensor wafer is less than 10 µm after thinning and the total thickness variation is around 1 µm. Thermal plastic material is used for temporary bonding because it flows during bonding process and resulted in excellent planarization. From the cross-section SEM image, Cu/Sn micro-bump is formed at the front-side of the CMOS image sensor and the ENIG UBM is formed on the front side of the Analog-to-Digital Conversion wafer. A 3 Mega pixel image is captured and demonstrated in this research. The proposed backside illuminated CMOS image sensor structure and process integration are processed in wafer level, therefore enabling a low cost technology which can be manufactured using existing infrastructure. By using thin wafer handling technology, direct fusion bond and TSV processes are not needed which provides a low cost wafer level solution.
- Published
- 2014
42. Technologies and challenges of fine-pitch backside via-last 3DIC TSV process integration and its electrical characteristics and system applications
- Author
-
Chien-Chou Chen, Chau-Jie Zhan, Ding-Ming Kwai, Shang-Chun Chen, Wei-Chung Lo, Shin-Chiang Chen, Tzu-Kun Ku, Chung-Chih Wang, Ming-Jer Kao, Pei-Jer Tzeng, Sue-Chen Liao, Yu-Ming Lin, Yiu-Hsiang Chang, Yu-Chen Hsin, Po-Chih Chang, Jui-Chin Chen, Cha-Hsin Lin, Yung-Fa Chou, Erh-Hao Chen, Hsiang-Hung Chang, Tzu-Chien Hsu, Chun-Hsien Chien, and Cheng-Ta Ko
- Subjects
Form factor (design) ,Through-silicon via ,Process development ,Computer science ,Process integration ,Process (computing) ,Key (cryptography) ,Electronic engineering ,Fine pitch ,Frame rate - Abstract
Technologies of fine-pitch backside via last 3DIC through silicon via (TSV) process are developed to be applied to the mass production of 3DIC products. The detailed process development key points and challenges are disclosed. The electrical data are also analyzed to check the TSV process. Also, its application in real 3DIC system is demonstrated to show the benefits of system form factor and frame rate.
- Published
- 2014
43. An Ultrathin Forming-Free $\hbox{HfO}_{x}$ Resistance Memory With Excellent Electrical Performance
- Author
-
Chenhsin Lien, Heng-Yuan Lee, Ching-Chiun Wang, Ming-Jinn Tsai, Tai-Yuan Wu, Frederick T. Chen, Yu-Sheng Chen, Pang-Shiu Chen, and Pei-Jer Tzeng
- Subjects
Materials science ,business.industry ,Annealing (metallurgy) ,Electrical engineering ,Oxide ,Percolation threshold ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,Non-volatile memory ,chemistry.chemical_compound ,chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,Thin film ,business ,Low voltage ,Electrical conductor - Abstract
A forming-free 3-nm-thick HfOx, resistive memory device is demonstrated. The percolation threshold of insulatingto-conductive transition in the ultrathin HfOx, can be lowered by using the Ti capping layer with an adequate post metal annealing. By the reaction between Ti and HfOx,, oxygen ions are depleted from the oxide, and conductive percolative paths, which consist of charged oxygen vacancies, are formed. Without any forming step, the memory can operate with stable bipolar resistance switching by initial positive or negative voltage sweep. Possible scenarios of switching mechanism for the initial state of the device with different sweep directions are proposed. This forming-free device also exhibits an on/off ratio of about ten and excellent memory performances, including high speed (~10 ns), low operation voltages ( 106 cycles), good nonvolatile property (500 min at 85 °C), and 2-b switching per cell.
- Published
- 2010
44. Low-Power and Nanosecond Switching in Robust Hafnium Oxide Resistive Memory With a Thin Ti Cap
- Author
-
Yu-Hsiu Chen, Tai-Yuan Wu, Ching-Chiun Wang, H. Y. Lee, Feng Chen, Pang-Shiu Chen, Pei-Jer Tzeng, Chiu-Wang Lien, and M.-J. Tsai
- Subjects
Materials science ,Annealing (metallurgy) ,business.industry ,Electrical engineering ,chemistry.chemical_element ,Nanosecond ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,Non-volatile memory ,Atomic layer deposition ,chemistry ,Getter ,Low-power electronics ,Optoelectronics ,Electrical and Electronic Engineering ,Tin ,business - Abstract
The memory performance of hafnium oxide (HfOx)-based resistive memory containing a thin reactive Ti buffer layer can be greatly improved. Due to the excellent ability of Ti to absorb oxygen atoms from the HfOx film after post-metal annealing, a large amount of oxygen vacancies are left in the HfOx layer of the TiN/Ti/HfOx/TiN stacked layer. These oxygen vacancies are crucial to make a memory device with a stable bipolar resistive switching behavior. Aside from the benefits of low operation power and large on/off ratio (>100), this memory also exhibits reliable switching endurance (>106 cycles), robust resistance states (200°C), high device yield (~100%), and fast switching speed (
- Published
- 2010
45. Charge-Trapping-Type Flash Memory Device With Stacked High-$k$ Charge-Trapping Layer
- Author
-
Kuei-Shu Chang-Liao, L.S. Lee, Ping-Hung Tsai, Tien-Ko Wang, Pei-Jer Tzeng, Cha-Hsin Lin, Te-Chiang Liu, and Ming-Jinn Tsai
- Subjects
Condensed Matter::Quantum Gases ,Materials science ,Band gap ,business.industry ,Electrical engineering ,Trapping ,Flash memory ,Band offset ,Electronic, Optical and Magnetic Materials ,Non-volatile memory ,Optoelectronics ,Physics::Atomic Physics ,Electrical and Electronic Engineering ,business ,Layer (electronics) ,Quantum tunnelling ,High-κ dielectric - Abstract
Operating properties of charge-trapping-type Flash memory devices with single or stacked structures on trapping layer are investigated in this letter. Improved operation and reliability characteristics can be achieved by adapting the stacked high-k films as charge-trapping layer due to the modification in the trap density and the energy level of traps, the mechanism of electron/hole transmission, and the suitable band offset. Moreover, with a small bandgap of second film in the stacked trapping layer, operating characteristics of devices are further enhanced.
- Published
- 2009
46. $\hbox{HfO}_{x}$ Bipolar Resistive Memory With Robust Endurance Using AlCu as Buffer Electrode
- Author
-
Yu-Sheng Chen, Heng Yuan Lee, Ching-Chiun Wang, Pang-Shiu Chen, Ming-Jinn Tsai, Frederick T. Chen, Tai-Yuan Wu, Pei-Jer Tzeng, C.-H. Lin, and Chenhsin Lien
- Subjects
Hardware_MEMORYSTRUCTURES ,Materials science ,business.industry ,Electrical engineering ,Integrated circuit ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,Anode ,law.invention ,Non-volatile memory ,Capacitor ,Memory cell ,law ,Electrode ,Optoelectronics ,Electrical and Electronic Engineering ,Thin film ,business - Abstract
A novel method of fabricating HfOx-based resistive memory device with excellent nonvolatile characteristics is proposed. By using a thin AlCu layer as the reactive buffer layer into the anodic side of a capacitor-like memory cell, excellent memory performances, which include reliable programming/erasing endurance (> 105 cycles), robust data retention at high temperature, and fast operation speed (< 50 ns), have been demonstrated. The resistive memory based on AlCu/HfOx stacked layer in this letter shows promising application in the next generation of nonvolatile memory.
- Published
- 2009
47. Challenges of Cu CMP of TSVs and RDLs fabricated from the backside of a thin wafer
- Author
-
Tzu-Chien Hsu, Chien-Chou Chen, Jui-Chin Chen, Shang-Chun Chen, Sue-Chen Liao, Pei-Jer Tzeng, Yu-Chen Hsin, John H. Lau, Yiu-Hsiang Chang, Tzu-Kun Ku, Cha-Hsin Lin, Ming-Jer Kao, Po-Chih Chang, and Chun-Hsien Chien
- Subjects
Materials science ,Passivation ,Silicon ,chemistry ,Chemical-mechanical planarization ,Metallurgy ,chemistry.chemical_element ,Polishing ,Wafer ,Dry etching ,Composite material ,Corrosion ,Grinding - Abstract
TSVs (through-silicon vias) can be fabricated by the via-first, via-middle, and via-last from the backside processes. For via-first and via-middle processes, TSVs are formed from the frontside of the wafer which is temporary bonded to a carrier. Then the backside is subjected to backgrinding and Cu revealing such as silicon dry etching, low-temperature isolation SiN/SiO2 deposition, and CMP (chemical-mechanical polishing) to remove the SiN/SiO2 and the Cu and seed/barrier layers of the Cu-filled TSV. On the other hand, for the via-last from the backside process, a carrier is temporary bonded on the frontside of the “finished” wafer (which includes all the metal layers, pads and passivation) and backgrind the backside of the wafer to ≤50 μm thick. TSVs and RDLs (redistribution layers) are formed from the backside of the wafer by the dual-damascene process. There are at least 3 challenges of Cu CMP of TSVs and RDLs fabricated from the backside of a thin wafer, namely (a) the residues in the dies due to the excess of TTV (total thickness variation) resulting from the degassing/deformation of glue during temporary bonding; (b) the residues on wafer edge due to the low down force during CMP to avoid the thin wafer chipping; and (c) Cu residues along the recess areas of the grinding traces during wafer thinning process. In this study, the processes are developed to overcome these three challenges. Specifically, the Cu residues in the dies are reduced substantially by choosing a thermosetting glue over a thermoplastic glue; the edge metal residues are eliminated by the process with a low down force to avoid the chipping of the thin wafer but increasing the time of Cu over-polishing in the high Cu rate slurry and avoiding Cu corrosion; and the Cu residues along the recess areas of grinding traces are resolved by Cu over-polishing using the high passivation Cu slurry to remove the Cu on the recess areas.
- Published
- 2013
48. Process integration of 3D Si interposer with double-sided active chip attachments
- Author
-
Tzu-Kun Ku, John H. Lau, Yiu-Hsiang Chang, Chun-Hsien Chien, Keisuke Saito, Chien-Ying Wu, Mandy Ji, Hsiang-Hung Chang, Ching-Kuan Lee, Ming Li, Yu-Chen Hsin, Ming-Jer Kao, Chau-Jie Zhan, Julia Cline, Pei-Jer Tzeng, Cha-Hsin Lin, Jui-Chin Chen, Shang-Chun Chen, and Po-Chih Chang
- Subjects
Materials science ,business.product_category ,Silicon ,Through-silicon via ,business.industry ,Electrical engineering ,chemistry.chemical_element ,Three-dimensional integrated circuit ,Chip ,chemistry ,Process integration ,Interposer ,Optoelectronics ,Die (manufacturing) ,Redistribution layer ,business - Abstract
A double-sided Si passive interposer connecting active dies on both sides for a 3D IC integration is investigated. This interposer is 100μm-thick with 10μm-diameter TSVs (through silicon vias), 3 RDLs (redistribution layer) on its front-side, 2 RDLs on its backside. It supports 2 active dies on its frontside and 1 active die at its backside. The present study focuses on the process integration of the passive interposer, double-sided chip assembly process, and passive electrical characterization.
- Published
- 2013
49. Fine-pitch backside via-last TSV process with optimization on temporary glue and bonding conditions
- Author
-
Sue-Chen Liao, Chung-Chih Wang, Chien-Chou Chen, Cha-Hsin Lin, Shang-Chun Chen, Shin-Chiang Chen, Po-Chih Chang, Erh-Hao Chen, Yiu-Hsiang Chang, Tzu-Kun Ku, Jui-Chin Chen, Tzu-Chien Hsu, Pei-Jer Tzeng, Yu-Chen Hsin, and Yu-Ming Lin
- Subjects
Stable process ,Materials science ,Yield (engineering) ,Through-silicon via ,Adhesive bonding ,Anodic bonding ,Process (computing) ,Composite material ,GLUE ,Daisy chain - Abstract
Fine-pitch backside via last through silicon via (TSV) process flow is demonstrated as a qualified candidate to be used in the construction of 3D-IC structure. Glue and its bonding conditions are critical to the final yield of the process. Different glues and temporary bonding conditions are investigated to find out the optimized stable process flow. Different daisy chain lengths are used to evaluate the maturity of the backside via last TSV process.
- Published
- 2013
50. Study of TSV filling performance with wide-range pattern densities by using a novel plating chamber with surface paddle agitation
- Author
-
Shang-Chun Chen, Shin-Chiang Chen, Po-Chih Chang, Chien-Chou Chen, Chung-Chih Wang, Tzu-Kun Ku, Yu-Chen Hsin, Yu-Ming Lin, Jui-Chin Chen, Pei-Jer Tzeng, Erh-Hao Chen, Cha-Hsin Lin, Yiu-Hsiang Chang, Sue-Chen Liao, and Tzu-Chien Hsu
- Subjects
Through-silicon via ,Volume (thermodynamics) ,chemistry ,Plating ,Metallurgy ,Flow (psychology) ,Copper plating ,Paddle ,chemistry.chemical_element ,Composite material ,Electroplating ,Copper - Abstract
TSV (through silicon via) metallization is one key process in 3DIC integration. Due to high aspect ratio and filling volume, TSV copper plating is the most time-consuming module in the whole process flow. To increase the throughput of electroplating, tool configurations and plating chemistry should be optimized. In this work, an electroplating chamber with a novel paddle design is used in this experiment to study the effects of paddle agitation on plating performance. The influence of this paddle design on different TSV pattern density and geometric scheme are also investigated.
- Published
- 2013
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.