72 results on '"Ph. Rodriguez"'
Search Results
2. Integration, BEOL, and Thermal Stress Impact on CMOS-Compatible Titanium-Based Contacts for III–V Devices on a 300-mm Platform
- Author
-
K. Dabertrand, Patrice Gergaud, Magali Gregoire, Ph. Rodriguez, Quentin Rafhay, F. Boyer, N. Coudurier, Fabrice Nemouchi, and Christophe Jany
- Subjects
010302 applied physics ,Optical amplifier ,Materials science ,Silicon ,Annealing (metallurgy) ,business.industry ,chemistry.chemical_element ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Stress (mechanics) ,Semiconductor ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Process integration ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Titanium - Abstract
Titanium-based contacts are envisioned for the integration of III–V device contacts on a 300-mm platform, such as photodetectors, semiconductor optical amplifiers (SOAs), and III–V silicon hybrid lasers. For the first time, the impact of the thermal budgets of process integration, back-end of line (BEOL), and long-term thermal stress on the electrical characteristics of the Ti/p-In0.53Ga0.47As and Ti/n-InP contacts has been investigated. Additional physical characterizations have been used to supplement the electrical properties on both systems. Results have indicated that, given a thermal budget between 350 °C and 450 °C during 60 s right after metal deposition, 1) Ti as a contact metal has led to contact resistivity in low $10^{-{5}}\,\,\Omega \cdot \text {cm}^{{2}}$ for p-contacts and in mid $10^{-{5}}\,\,\Omega \cdot \text {cm}^{{2}}$ for n-contacts, which is in accordance with the device requirements; and 2) process integration, BEOL, and long-term thermal stress will not induce any change of the electrical properties. In the scope of III–V silicon hybrid laser contact integration, Ti has hence been evidenced as a suitable candidate for both p- and n-contacts.
- Published
- 2020
3. Ni2P Contact Technology for 300 mm Si Photonics Platform
- Author
-
S. Minoret, R. Famulok, Ph. Rodriguez, Christophe Jany, Patrice Gergaud, F. Boyer, and N. Coudurier
- Subjects
Materials science ,Silicon ,business.industry ,Thermal resistance ,chemistry.chemical_element ,Conductivity ,Sputter deposition ,chemistry ,Electrical resistivity and conductivity ,Optoelectronics ,Thermal stability ,Photonics ,Thin film ,business - Abstract
In order to assess their potential use as contact layers for Si photonics devices, Ni 2 P thin films were developed on a 300 mm platform. Ni 2 P layers, obtained by magnetron sputtering of a Ni 2 P target, were implemented and integrated on III-V-based structures to extract the contact resistivity on n-InP and p-InGaAs. Due to its high thermal stability and low contact resistivities, Ni 2 P metallization exhibited the best results among the Ni-based metallizations studied for contacting n-InP layers.
- Published
- 2021
4. Lasing in Group-IV Materials
- Author
-
Dan Buca, Zoran Ikonic, Hans Sigg, Vincent Calvo, Vincent Reboud, Ph. Rodriguez, Alexei Chelnokov, Nicolas Pauc, and J.M. Hartmann
- Subjects
Signal processing ,3D optical data storage ,Silicon photonics ,Materials science ,business.industry ,Photodetector ,Laser ,law.invention ,Chemical species ,law ,Optoelectronics ,Photonics ,business ,Lasing threshold - Abstract
Silicon photonics in the near-IR, up to 1.6 µm, is already one of key technologies in optical data communications, particularly short range. It also is being prospected for applications in quantum computing, artificial intelligence, optical signal processing, where complex photonic integration is to be combined with a large-volume fabrication. However, silicon photonics does not yet cover a large portion of applications in the mid-IR. In the wavelength range of 2–5 µm, environmental sensing, life sensing and security, all rely on optical signatures of molecular vibrations to identify complex individual chemical species. The markets for such analysis are huge and constantly growing, with a push for sensitivity, specificity, compactness, low-power operation and low cost. An all-group-IV, CMOS-compatible mid-IR integrated photonic platform would be a key enabler in this wavelength range. As for other wavelengths, such a platform should be complete with low-loss guided interconnects, detectors, eventually modulators, and most important an efficient and integrated light sources. This chapter reviews the recent developments of mid-IR silicon-compatible optically and electrically pumped lasers, light emitting diodes and photodetectors based on Ge, GeSn and SiGeSn alloys. It contains insights into the fundamentals of these developments, including bandstructure modelling, material growth and processing techniques.
- Published
- 2021
5. Nickel-based CMOS-compatible contacts on p-In0.53Ga0.47 As for III-V / silicon hybrid lasers
- Author
-
Magali Gregoire, N. Coudurier, Fabrice Nemouchi, K. Dabertrand, Christophe Jany, Patrice Gergaud, F. Boyer, Ph. Rodriguez, and Quentin Rafhay
- Subjects
Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Conductivity ,Laser ,law.invention ,Nickel ,chemistry ,Rapid thermal processing ,law ,Process integration ,Thermal ,Optoelectronics ,Thin film ,business - Abstract
Nickel-based metallization are envisioned for the p-contact integration of III-V / silicon hybrid lasers on a 300 mm platform. The electrical and physical characteristics of Ni 0.9 Pt 0.1 and Ni thin films on In 0.53 Ga 0.47 As layers have been studied. For the first time, the impact of the thermal budget of the process integration and laser operations on the contact integrity have been investigated. Results have shown that in the case of the Ni 0.9 Pt 0.1 / p-In 0.53 Ga 0.47 As system, with a rapid thermal annealing between 350 and 450 °C for 60 s applied after metal deposition, promising contact resistivities have been extracted (low 10–5 - high 10–6 Ω.cm2) along with a good electrical stability after process integration and laser operations. The Ni / p-In 0.53 Ga 0.47 As system have exhibited lower contact resistivities (low 10–6 - high 10–7 Ω.cm2) granted a rapid thermal annealing between 400 and 500 °C after metal deposition. A strong electrical stability after integration steps and laser operations has also been evidenced. Results suggest that the Ni / p-In 0.53 Ga 0.47 As system constitutes the most promising option for p-contact integration.
- Published
- 2020
6. Vertical GeSn electro-absorption modulators grown on Silicon for the mid-infrared
- Author
-
Q. M. Thai, Jérémie Chrétien, Lara Casiez, Nicolas Pauc, Mathieu Bertrand, Rami Khazaka, Alexei Chelnokov, Vincent Calvo, Ph. Rodriguez, J.M. Hartmann, Andrea Quintero, and Vincent Reboud
- Subjects
Materials science ,Silicon photonics ,Silicon ,chemistry ,business.industry ,Mid infrared ,chemistry.chemical_element ,Optoelectronics ,Absorption (electromagnetic radiation) ,business - Abstract
We used GeSn layers with 6% and 13% of Sn grown on Ge in a 200 mm RPCVD tool to fabricate mid-infrared vertical electro-absorption light modulators. Light modulations of 1.5% and 1% at 21 kV/cm were achieved at 2.15 and 3.05 µm, respectively.
- Published
- 2020
7. Study of the Ti/InGaAs solid-state reactions: Phase formation sequence and diffusion schemes
- Author
-
Ph. Rodriguez, E. Ghegin, F. Boyer, Patrice Gergaud, Saddek Bensalem, János L. Lábár, Miklós Menyhárd, Fabrice Nemouchi, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), and STMicroelectronics
- Subjects
010302 applied physics ,[PHYS]Physics [physics] ,Auger electron spectroscopy ,Materials science ,Diffusion barrier ,Mechanical Engineering ,Diffusion ,Analytical chemistry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Amorphous solid ,Mechanics of Materials ,Transmission electron microscopy ,Phase (matter) ,0103 physical sciences ,General Materials Science ,Crystallite ,Thin film ,0210 nano-technology - Abstract
The development of Complementary Metal Oxide Semiconductor (CMOS)-compatible contact technology on III–V materials based on Ti for electronics or photonics applications was studied. In this framework, solid-state reactions between Ti thin films (20 nm) and In0.53Ga0.47As layers grown on InP substrates were studied from the as-deposited state up to 550 °C using a combination of advanced X-ray diffraction (in-plane reciprocal space mapping), Auger electron spectroscopy and transmission electron microscopy analyses. The phase formation sequence was solved. At low temperature, an amorphous Ti–Ga–As intermixing layer coexists with the Ti film. As of 250 °C, the first crystalline phase to form is Ti 2 Ga 3 . At 300 °C, a new crystalline phase appears, namely TiAs 2 . On the other hand, TiAs and metallic In form at 350 °C and Ti is completely consumed between 450 and 500 °C. The diffusion of the various species lead to the formation of a non-nominal Ga-rich In x Ga 1−x As layer and at 550 °C to the formation of polycrystalline GaAs. Ti was found to be the main diffusing species at low temperature whereas III and V elements are the dominant diffusing species at higher temperatures. The nature of the phases formed above and below the original Ti/InGaAs interface might explain the In accumulation at the interface, the TiAs phase acting as a diffusion barrier.
- Published
- 2020
8. 7-Levels-Stacked Nanosheet GAA Transistors for High Performance Computing
- Author
-
James C. Sturm, C. Vizioz, J.M. Hartmann, A. Jannaud, Bernard Previtali, G. Romano, C. Perrot, A. Magalhaes-Lucas, Ph. Rodriguez, Sylvain Barraud, Francois Andrieu, R. Kies, Virginie Loup, Adeline Grenier, J. Lassarre, Mikael Casse, and Nicolas Bernier
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,01 natural sciences ,law.invention ,Gallium arsenide ,Computer Science::Hardware Architecture ,chemistry.chemical_compound ,Computer Science::Emerging Technologies ,law ,0103 physical sciences ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Metal gate ,Nanosheet ,010302 applied physics ,business.industry ,Transistor ,020207 software engineering ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,chemistry ,Logic gate ,Optoelectronics ,business - Abstract
In this paper, we experimentally demonstrate, for the first time, gate-all-around (GAA) nanosheet transistors with a record number of stacked channels. Seven levels stacked nanosheet (NS) GAA transistors fabricated using a replacement metal gate process, inner spacer and self-aligned contacts show an excellent gate controllability with extremely high current drivability $(3\mathrm{mA}/\mu \mathrm{m}\ \mathrm{at}\ \mathrm{V}_{\mathrm{DD}}=1\mathrm{V})$ and a 3 x improvement in drain current over usual 2 levels stacked- NS GAA transistors.
- Published
- 2020
- Full Text
- View/download PDF
9. Photoelectron spectroscopy study of GeSn epitaxial layers for photonic applications
- Author
-
Christophe Jany, V. Reboud, J. Da Fonseca, Olivier Renault, Ph. Rodriguez, M. Bouschet, A. Chelnokov, J.M. Hartmann, Andrea Quintero, Eugénie Martinez, Lara Casiez, and J.M. Fabbri
- Subjects
Materials science ,X-ray photoelectron spectroscopy ,business.industry ,Optoelectronics ,Electrical and Electronic Engineering ,Photonics ,Condensed Matter Physics ,business ,Epitaxy ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2022
10. Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
- Author
-
B.-N. Bozon, J.-Ph. Reynard, Y. Le Friec, Sylvie Favier, Yann Mazel, K. Dabertrand, Patrice Gergaud, R. Famulok, Ph. Rodriguez, Fabrice Nemouchi, C. Jahan, Bernard Previtali, F. Boyer, Département Intégration Hétérogène sur Silicium (DIHS), Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics, Applied Materials France, ANR-11-EQPX-0010,CRGF,Lignes synchrotron françaises à l'ESRF(2011), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010), and ANR: ANR-10-AIRT-05,Programme Investissements d’Avenir
- Subjects
Materials science ,Interconnects ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,Tungsten ,01 natural sciences ,[SPI.MAT]Engineering Sciences [physics]/Materials ,Atomic layer deposition ,Plasma-enhanced chemical vapor deposition ,Low resistance ,Contact ,0103 physical sciences ,General Materials Science ,Thin film ,Composite material ,010302 applied physics ,Mechanical Engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,[SPI.TRON]Engineering Sciences [physics]/Electronics ,X-ray reflectivity ,Tungsten film ,chemistry ,Mechanics of Materials ,PCRAM ,Liner ,0210 nano-technology ,Layer (electronics) - Abstract
International audience; Using a metal-organic tungsten based precursor, a fluorine-free tungsten thin film has been obtained. The process deposition recipe includes a plasma-enhanced CVD (PECVD) step and atomic layer deposition (ALD) cycles. A set of physicochemical characterizations including X-ray reflectivity (XRR), in-plane X-ray diffraction (XRD), wavelength dispersive X-ray fluorescence (WDXRF), plasma profiling time of flight mass spectrometry (PPTOFMS) and microscope observations has been realized in order to study the W thin film structure and properties. The film is perfectly conformal whatever the structure size investigated (from tens of nanometers to micrometers wide). It was also highlighted that the F-free W film exhibits the lowest electrical resistivity phase (α-W) but is not pure. Indeed, in addition to a top surface oxidation, a layer located at the W film / substrate interface is present. This interface layer (IL) contains impurities, including carbon and oxygen, due to ligand decomposition. This IL might be deposited during the soak step or during the PECVD step. The W liner with thicknesses ranging from 3 to 4 nm has been implemented on PCRAM structures in order to evaluate its impact on contact plug resistivity. First electrical results are promising and demonstrate the interest of using a F-free low resistance W liner. At the aspect ratio studied, the gain in terms of contact plug resistivity is about 20% compared to the process of reference using a TiN liner. Modeling shows that this benefit is mainly due to the reduction of interface resistances.
- Published
- 2017
11. Platinum redistribution in the Ni0.9Pt0.1/InP system: Impact on solid-state reaction and layer morphology
- Author
-
Quentin Rafhay, F. Boyer, Ph. Rodriguez, Patrice Gergaud, K. Dabertrand, and Magali Gregoire
- Subjects
010302 applied physics ,Materials science ,Mechanical Engineering ,Diffusion ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Amorphous solid ,chemistry ,Mechanics of Materials ,Phase (matter) ,0103 physical sciences ,General Materials Science ,Redistribution (chemistry) ,Thin film ,0210 nano-technology ,Platinum ,Layer (electronics) - Abstract
In the scope of integrating III–V device contacts on a 300 mm platform, Ni-based contacts are envisioned. In this regard, the Pt redistribution in the Ni0.9Pt0.1/InP system, and the impact of Pt-alloying of Ni thin films on InP over solid-state reaction and layer morphology have been investigated. Results have shown that at low temperature (T 300 °C), the presence of Pt partially slows down the diffusion of Ni towards the InP substrate, which as a result delays the growth of an intermixing amorphous Ni–In–P layer. In addition, the presence of Pt in the system has delayed the consumption of the Ni0.9Pt0.1 layer. At higher temperatures (T ≥ 300 °C), the thermal energy brought to the system overcomes the Pt-induced partially slowed down Ni diffusion, and the observed phase formation sequence indicates that the Ni-based crystallized phases (Ni 2 P, Ni 3 P and Ni 2 InP) are identified regardless of the presence of Pt, which is not incorporated into the formed Ni-based phases (Ni 2 P, Ni 3 P and Ni 2 InP). Instead, the latter is rejected from the forming interface, which allows it to participate to the formation of the stable Pt 3 In 7 crystallized phase.
- Published
- 2021
12. Mid-Infrared GeSn-Based LEDs with Sn Content up to 16%
- Author
-
Vincent Calvo, Vincent Reboud, Ph. Rodriguez, Mathieu Bertrand, Jérémie Chrétien, J. Aubin, Nicolas Pauc, Alexei Chelnokov, Lara Casiez, Rami Khazaka, Andrea Quintero, J.M. Hartmann, and Q. M. Thai
- Subjects
010302 applied physics ,Materials science ,business.industry ,Energy level splitting ,02 engineering and technology ,Electroluminescence ,021001 nanoscience & nanotechnology ,01 natural sciences ,Temperature measurement ,law.invention ,law ,0103 physical sciences ,Optoelectronics ,Light emission ,Photonics ,0210 nano-technology ,business ,Photonic crystal ,Diode ,Light-emitting diode - Abstract
We have grown by Reduced Pressure Chemical Vapor Deposition (RPCVD) vertical GeSn light-emitting diodes (LEDs) with (i) Sn content ranging from 6% to 16% and (ii) with or without SiGeSn barriers. Direct band-gap behaviors and activation energies of defects affecting emission efficiency of our different stacks were analyzed by temperature dependent electroluminescence measurements. A strong light emission enhancement was observed thanks to SiGeSn confinement barriers compared to reference samples. The electroluminescence intensity of Ge0.84Sn0.16 LEDs was increased by a factor 2 compared to that of Ge0.87Sn0.13 devices. This strong enhancement at room temperature is attributed to the increase of the splitting energy between Γ and L valleys for higher Sn content LEDs.
- Published
- 2019
13. Reliability of CMOS-Compatible Ti / n-InP and Ti / p-InGaAs Ohmic Contacts for Hybrid III-V / Si Lasers
- Author
-
Christophe Jany, V. Amalberg, Quentin Rafhay, Magali Gregoire, F. Boyer, Bertrand Szelag, J. Da Fonseca, and Ph. Rodriguez
- Subjects
010302 applied physics ,Materials science ,business.industry ,Annealing (metallurgy) ,chemistry.chemical_element ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,Hybrid III ,chemistry ,law ,0103 physical sciences ,Indium phosphide ,Optoelectronics ,0210 nano-technology ,business ,Ohmic contact ,Cmos compatible ,Titanium - Abstract
Electrical properties of CMOS-compatible titanium contacts on n-InP and p-In0.53Ga0.47As using 300 mm tools, in the scope of integrating them on III-V / Si hybrid lasers, are presented. Electrical behaviors after i) processing, ii) integration and back-end sequences, and iii) several simulated laser uses were investigated.
- Published
- 2019
14. GeSn surface preparation by wet cleaning and in-situ plasma treatments prior to metallization
- Author
-
Laurent Vallier, Ph. Rodriguez, Andrea Quintero, Pascal Besson, Nicolas Chevalier, J.M. Hartmann, P.E. Raynal, Virginie Loup, J. Aubin, Clot, Marielle, Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
010302 applied physics ,In situ ,[PHYS]Physics [physics] ,Materials science ,Metallurgy ,Wet cleaning ,02 engineering and technology ,Plasma ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,[PHYS] Physics [physics] ,Surface preparation ,0103 physical sciences ,Electrical and Electronic Engineering ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
International audience
- Published
- 2019
15. Redistribution of phosphorus during NiPtSi formation on in-situ doped Si
- Author
-
M. Lemang, Ph. Rodriguez, Magali Gregoire, Marc Juhel, Patrice Gergaud, B. Saidi, Dominique Mangelinck, Fabrice Nemouchi, STMicroelectronics, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU), and Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,In situ ,Diffraction ,Materials science ,Annealing (metallurgy) ,Doping ,Analytical chemistry ,02 engineering and technology ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Silicide ,Grain boundary ,Redistribution (chemistry) ,Electrical and Electronic Engineering ,Thin film ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
This study focuses on silicide formation on phosphorus in-situ doped samples, the phosphorus diffusion and its distribution during the solid-state reaction. The silicidation is achieved with a 16 nm thin film of Ni0.9Pt0.1 followed by a two steps annealing process using rapid thermal annealing, selective etching and dynamic surface annealing. Silicide formation is investigated thanks to in-situ X-ray diffraction and X-ray reflectivity, while the phosphorus concentration profiles after silicidation are obtained by Time-of-Flight Secondary Ion Mass Spectroscopy. Based on these profiles, simulation of the phosphorus redistribution is achieved. The latter is linked to a fast diffusion in the silicide and at its grain boundaries. This feature is put forward to explain how doping may influence the phase sequence of silicide formation.
- Published
- 2018
16. Phase formation sequence and cobalt behavior in the Ni0.9 Co0.1 system during the thin film solid-state formation
- Author
-
Patrice Gergaud, F. Deprat, Claire Fenouillet-Beranger, S. Favier, Fabrice Nemouchi, Ph. Rodriguez, Dominique Mangelinck, S. Zhiou, Ting Luo, C. Sese, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,01 natural sciences ,chemistry.chemical_compound ,Phase (matter) ,0103 physical sciences ,Silicide ,Thermal stability ,Electrical and Electronic Engineering ,Thin film ,Sheet resistance ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Chemical engineering ,0210 nano-technology ,Cobalt - Abstract
In this work, the solid-state reaction between a 7 nm thick Ni0.9Co0.1 film and a silicon substrate has been studied. By combining various characterization methods (e.g. sheet resistance measurement, X-ray reflectivity, X-ray diffraction), a comprehensive phase sequence of the NiCo silicide formation has been proposed. At low temperature, we observed the formation of metal-rich Ni2Si-like phases: δ-(NiCo)2Si and θ-(NiCo)2Si. Contrary to Ni0.9Pt0.1 based silicides, the δ-Ni2Si phase appears before the θ-Ni2Si one. Beyond 320 °C, the (NiCo)Si monosilicide formation is initiated and this latter is complete at 400 °C. The presence of Co strongly decreases the NiSi2 formation temperature. This early formation of disilicide allows avoiding film agglomeration and enhances the thermal stability of NiSi silicide. Complementary studies using wavelength dispersive X-ray fluorescence allowed studying the cobalt behavior and highlighted the formation of a Co composition gradient into the metal-rich silicide phases at relatively low temperature (220–260 °C).
- Published
- 2018
17. Enhanced thermal stability of Ni/GeSn system using pre-amorphization by implantation
- Author
-
Nicolas Bernier, Ph. Rodriguez, Frédéric Mazen, J.M. Hartmann, Vincent Reboud, Andrea Quintero, Patrice Gergaud, and Eric Cassan
- Subjects
010302 applied physics ,Materials science ,Diffusion ,Analytical chemistry ,Intermetallic ,Hexagonal phase ,General Physics and Astronomy ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Ion ,Ion implantation ,Phase (matter) ,0103 physical sciences ,Degradation (geology) ,Thermal stability ,0210 nano-technology - Abstract
Improving the thermal stability of Ni/GeSn intermetallics is of great importance to avoid surface degradation and Sn segregation. For this purpose, we studied the effects of pre-amorphization by ion implantation (PAI) of GeSn layers prior to metallization. The impact of Si, Ge, C, or Ge + C PAI was evaluated in terms of phase sequence, morpohological, and electrical evolution during the solid-state reaction. The overall phase sequence, followed by in situ x-ray diffraction, was comparable with or without PAI and went as follows: the Ni 5(GeSn) 3 hexagonal phase was obtained first, followed by the mono-stanogermanide phase: Ni(GeSn). Nevertheless, the threshold temperature for phase formation varied. These variations, depending on the nature of the implanted ions, can be related to kinetic and/or thermodynamic factors as supported by the analysis of bibliography for silicides and germanides. Additionally, it was reported that the use of Si or Ge implantation did not significantly impacted the surface morphology of the layers. On the other hand, the implantation of C positively impacts the surface morphology evolution by delaying Sn long-range diffusion and Ni(GeSn) agglomeration. This trend was then highly beneficial for preserving electrical stability in an enhanced process window.
- Published
- 2021
18. CMOS-Compatible Contacts for Si Photonics from Solid-State Reaction to Laser Integration
- Author
-
Patrice Gergaud, Laura Toselli, M. Pasquali, Christophe Jany, E. Ghegin, Ph. Rodriguez, Saddek Bensalem, S. Favier, Fabrice Nemouchi, Bertrand Szelag, and S. Zhiou
- Subjects
010302 applied physics ,Materials science ,business.industry ,Solid-state ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,Copper ,law.invention ,chemistry ,Surface preparation ,law ,Plating ,0103 physical sciences ,Optoelectronics ,Photonics ,0210 nano-technology ,business ,Cmos compatible - Abstract
From surface preparation and solid-state reaction to laser integration, a short overview of the CMOS-compatible contacts developed in our group on n-InP and p-InGaAs for Si photonic applications is proposed.
- Published
- 2018
19. Impact of Sn content in Ge1−xSnx layers on Ni-stanogermanides solid-state reaction and properties
- Author
-
Eric Cassan, J.M. Hartmann, V. Loup, Patrice Gergaud, J. Aubin, Ph. Rodriguez, Nicolas Chevalier, Vincent Reboud, and Andrea Quintero
- Subjects
010302 applied physics ,In situ ,Materials science ,Annealing (metallurgy) ,Atomic force microscopy ,Solid-state ,Analytical chemistry ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Nickel ,chemistry ,0103 physical sciences ,0210 nano-technology ,Tin ,Sheet resistance - Abstract
A comprehensive analysis focused on the impact of Sn content in GeSn layers, on Ni-based contacts, is presented. In situ XRD, AFM and Rsh measurements were performed in order to follow phase growth, surface morphological evolution and electrical properties as the annealing temperature changed. Potential impact of those evolutions on devices integration is also discussed.
- Published
- 2018
20. Redistribution of phosphorus during Ni 0.9 Pt 0.1 -based silicide formation on phosphorus implanted Si substrates
- Author
-
Ph. Rodriguez, Fabrice Nemouchi, M. Lemang, Dominique Mangelinck, Magali Gregoire, Marc Juhel, STMicroelectronics, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
- Subjects
010302 applied physics ,Surface diffusion ,Materials science ,Annealing (metallurgy) ,Analytical chemistry ,General Physics and Astronomy ,02 engineering and technology ,Atom probe ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Secondary ion mass spectrometry ,chemistry.chemical_compound ,Time of flight ,chemistry ,law ,0103 physical sciences ,Silicide ,Grain boundary ,Redistribution (chemistry) ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
Phosphorus diffusion and its distribution during the solid-state reactions between Ni0.9Pt0.1 and implanted Si substrates are studied. Silicidation is achieved through a first rapid thermal annealing followed by a selective etching and a direct surface annealing. The redistribution of phosphorus in silicide layers is investigated after the first annealing for different temperatures and after the second annealing. Phosphorus concentration profiles obtained thanks to time of flight secondary ion mass spectrometry and atom probe tomography characterizations for partial and total reactions of the deposited 7 nm thick Ni0.9Pt0.1 film are presented. Phosphorus segregation is observed at the Ni0.9Pt0.1 surface and at Ni2Si interfaces during Ni2Si formation and at the NiSi surface and the NiSi/Si interface after NiSi formation. The phosphorus is evidenced in low concentrations in the Ni2Si and NiSi layers. Once NiSi is formed, a bump in the phosphorus concentration is highlighted in the NiSi layer before the NiSi/Si interface. Based on these profiles, a model for the phosphorus redistribution is proposed to match this bump to the former Ni2Si/Si interface. It also aims to bind the phosphorus segregation and its low concentration in different silicides to a low solubility of phosphorus in Ni2Si and in NiSi and a fast diffusion of phosphorus at their grain boundaries. This model is also substantiated by a simulation using a finite difference method in one dimension.
- Published
- 2018
21. Wet and Siconi® cleaning sequences for SiGe p-type metal oxide semiconductor channels
- Author
-
Mickael Martin, J. Moeyaert, Laurent Vallier, J.M. Hartmann, Ph. Rodriguez, Pascal Besson, P.E. Raynal, Bernard Pelissier, Virginie Loup, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), STMicroelectronics [Crolles] (ST-CROLLES), and This work was partially supported by the Labex Minos ANR-10-LABX-55-01 and the Equipex IMPACT program ANR-10-EQX-33. The authors want to thank the CEA-Leti clean room staff for its support in these developments in the frame of the Equipex FDSOI11 project.
- Subjects
Materials science ,Oxide ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,Epitaxy ,01 natural sciences ,chemistry.chemical_compound ,0103 physical sciences ,Wafer ,Electrical and Electronic Engineering ,Silicon oxide ,010302 applied physics ,[PHYS]Physics [physics] ,business.industry ,Wet cleaning ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Optoelectronics ,Field-effect transistor ,0210 nano-technology ,business ,Germanium oxide - Abstract
International audience; The low temperature integration of new materials (such as SiGe channels for the holes) is mandatory in advanced metal oxide semiconductor field effect transistors (i.e. in 14 nm technology node devices and beyond). In this paper, we have investigated the removal of SiGe oxides prior to Selective epitaxial Growth of Si or SiGe:B in Sources/Drains regions. A very efficient removal of contaminants (C, F, O…) is mandatory if the H2 bake that precedes epitaxy is removed because of thermal budget constraints. As germanium is very reactive in the air, in-situ surface preparation schemes (conducted for instance in a Siconi® chamber) might be useful on SiGe surfaces. This way, the queue-time issues associated with “HF-Last” (HF/HCl follow by deionization water rinse) processes in single wafer wet cleaning tools are avoided. Germanium-rich SiGe layers (Si0.6Ge0.4) were used to characterize the native oxide removal efficiency of “HF-Last” and Siconi® processes. Then, a new surface preparation strategy was developed based on i) a wet chemical oxide formation followed by ii) a standard Siconi® process whose efficiency towards SiO2 has conclusively been demonstrated. Parallel Angle Resolved X-ray Photoelectron Spectroscopy was used to study the chemical composition of the native or chemical oxide and evaluate the efficiency of that treatment on carbon, germanium oxide and silicon oxide.
- Published
- 2018
22. Optical lasing micro-cavities fabricated in high Sn content active GeSn layers grown on GeSn step-graded buffers
- Author
-
Vincent Reboud, J.M. Hartmann, Rami Khazaka, Mathieu Bertrand, Vincent Calvo, Nicolas Pauc, Ph. Rodriguez, A. Quintero Colmenares, Q. M. Thai, Hans Sigg, Alexei Chelnokov, F. Armand Pilon, and Jérémie Chrétien
- Subjects
Materials science ,Light source ,chemistry ,business.industry ,Optoelectronics ,chemistry.chemical_element ,Germanium ,Micro cavities ,business ,Operation temperature ,Lasing threshold ,Photonic crystal ,Semiconductor laser theory - Abstract
We study optically pumped lasing in micro-cavities with high Sn content active GeSn layers. We show that the crystalline quality of the GeSn active layers are greatly improved when grown on GeSn step-graded buffers instead of directly on Ge strained relaxed buffers. High Sn content, up to 16% of Sn, was reached leading to lasing operation temperature up to 180K in micro-disks, paving the way to the use of GeSn in monolithically integrated light source devices.
- Published
- 2018
23. Hybrid III-V/Si DFB laser integration on a 220 mm fully CMOS-compatible silionn photonlcsplotform
- Author
-
R. Crochemore, O. Pesenti, A. Schembri, S Domínguez, M. Brihoum, Saddek Bensalem, T. Bria, Loic Sanchez, Karim Hassan, Bertrand Szelag, Christophe Jany, Pierre Brianceau, Ph. Rodriguez, M. C. Roure, Laetitia Adelmini, E. Vermande, B. Montmayeul, E. Ghegin, and Fabrice Nemouchi
- Subjects
Distributed feedback laser ,Fabrication ,Silicon photonics ,Materials science ,Silicon ,business.industry ,Physics::Optics ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,Waveguide (optics) ,law.invention ,Semiconductor laser theory ,010309 optics ,chemistry ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Photonics ,0210 nano-technology ,business - Abstract
In this paper we demonstrate the first integration of a hybrid III-V/Si laser in a fully CMOS compatible 200mm technology. Device with SMSR up to 50 dB and a maximum output power of 4mW coupled in the waveguide have been measured. The fabrication flow is fully planar and compatible with large scale integration silicon photonics circuit.
- Published
- 2017
24. LSE investigation of the thermal effect on band gap energy and thermodynamic parameters of BInGaAs/GaAs Single Quantum Well
- Author
-
Tarek Hidouri, Ph. Rodriguez, Laurent Auvray, Faouzi Saidi, Hassen Maaref, Laboratoire de Micro-optoélectronique et Nanostructures [Monastir], Faculté des Sciences de Monastir (FSM), Université de Monastir - University of Monastir (UM)-Université de Monastir - University of Monastir (UM), Laboratoire des Multimatériaux et Interfaces (LMI), Université Claude Bernard Lyon 1 (UCBL), and Université de Lyon-Université de Lyon-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Photoluminescence ,Band gap ,02 engineering and technology ,Chemical vapor deposition ,01 natural sciences ,7. Clean energy ,[SPI.MAT]Engineering Sciences [physics]/Materials ,Inorganic Chemistry ,Delocalized electron ,0103 physical sciences ,Thermal ,Metalorganic vapour phase epitaxy ,Electrical and Electronic Engineering ,Physical and Theoretical Chemistry ,Spectroscopy ,Quantum well ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,Condensed matter physics ,Chemistry ,Organic Chemistry ,Atmospheric temperature range ,021001 nanoscience & nanotechnology ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,[SPI.OPTI]Engineering Sciences [physics]/Optics / Photonic ,0210 nano-technology - Abstract
In this paper, we report on the experimental and theoretical study of BInGaAs/GaAs Single Quantum Well elaborated by Metal Organic Chemical Vapor Deposition (MOCVD). We carried out the photoluminescence (PL) peak energy temperature-dependence over a temperature range of 10–300 K. It shows the S-shaped behavior as a result of a competition process between localized and delocalized states. We simulate the peak evolution by the empirical model and modified models. The first one is limited at high PL temperature. For the second one, a correction due to the thermal redistribution based on the Localized State Ensemble model (LSE). The new fit gives a good agreement between theoretical and experimental data in the entire temperature range. Furthermore, we have investigated an approximate analytical expressions and interpretation for the entropy and enthalpy of formation of electron-hole pairs in quaternary BInGaAs/GaAs SQW.
- Published
- 2016
25. Metallurgical studies of integrable Ni-based contacts for their use in III–V/Si heterogeneous photonics devices
- Author
-
Fabrice Nemouchi, C. Perrin, Christophe Jany, Khalid Hoummada, János L. Lábár, S. Zhiou, Isabelle Sagnes, Ph. Rodriguez, E. Ghegin, Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU), Laboratoire de photonique et de nanostructures (LPN), Centre National de la Recherche Scientifique (CNRS), and Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Silicon photonics ,Integrable system ,business.industry ,Metallurgy ,Context (language use) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,7. Clean energy ,01 natural sciences ,chemistry.chemical_compound ,CMOS ,chemistry ,0103 physical sciences ,Indium phosphide ,Photonics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business - Abstract
International audience; Opening the way to large bandwidths and high data rates Silicon Photonics is of great interest. In the scope of co-integrating III-V devices with CMOS very large scale integration (VLSI), innovative contacts to III-V materials have to be developed. In this paper we study the metallurgical and electrical properties of Ni-based metallizations to n-InP and p-InGaAs. It appears that the integration of both metallizations must be realized at temperatures lower than or equal to 340 °C starting with that on n-InP.
- Published
- 2016
26. Contacts for Monolithic 3D architecture: Study of Ni$_{0.9}$Co$_{0.1}$ Silicide Formation
- Author
-
C. Sese, F. Deprat, Fabrice Nemouchi, Ph. Rodriguez, Claire Fenouillet-Beranger, Patrice Gergaud, S. Favier, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), ANR-11-EQPX-0010,CRGF,Lignes synchrotron françaises à l'ESRF(2011), and ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
Diffraction ,Materials science ,Silicon ,NiCo ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,silicide ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,law ,Phase (matter) ,0103 physical sciences ,Silicide ,Thermal stability ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Sheet resistance ,010302 applied physics ,business.industry ,Transistor ,021001 nanoscience & nanotechnology ,Crystallography ,chemistry ,solid-state reaction ,Optoelectronics ,0210 nano-technology ,business - Abstract
Auteur correspondant: "philippe.rodriguez@cea.fr"; International audience; In this work, we studied the solid-state reaction between a Ni$_{0.9}$Co$_{0.1}$ film and a silicon substrate. NiCo silicide is considered to substitute Ni-and NiPt-based silicides in 3D integration in order to extend the bottom transistor thermal stability. Thanks to the combined analysis of sheet resistance data, X-ray reflectivity spectra modelling, X-ray diffraction and wavelength dispersive X-ray fluorescence analyses on Ni$_{0.9}$Co$_{0.1}$ /Si samples annealed at various temperatures, we were able to describe the phase sequence of the NiCo silicide formation.
- Published
- 2016
27. First integration of Ni0.9Co0.1 on pMOS transistors
- Author
-
M. Danielou, F. Deprat, Perrine Batude, Mikael Casse, N. Rambal, Bernard Previtali, Maud Vinet, M. Mellier, Fabrice Nemouchi, Michel Haond, Magali Gregoire, Claire Fenouillet-Beranger, Ph. Rodriguez, Vincent Delaye, and S. Favier
- Subjects
010302 applied physics ,Materials science ,Transistor ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Salicide ,01 natural sciences ,Engineering physics ,PMOS logic ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,0103 physical sciences ,Silicide ,Thermal ,MOSFET ,Electronic engineering ,Thermal stability ,0210 nano-technology - Abstract
In 3D sequential integration, the top transistor thermal budget must be reduced to preserve bottom MOSFET performance. In order to relax this thermal budget limitation, the thermal stability of the bottom level must be increased, especially for the silicide. In that purpose, Ni0.9Co0.1 alloy is proposed to replace the current Ni0.85Pt0.15 silicide. For the first time, this Ni0.9Co0.1 salicide has been integrated on pMOS FDSOI transistors with state of the art process leading to performance improvements compared to the standard Ni0.85Pt0.15 salicide. In this study, the cobalt incorporation into the salicide has been investigated to enhance its thermal stability.
- Published
- 2016
28. Towards contact integration for III–V/Silicon heterogeneous photonics devices
- Author
-
M. Brihoum, Ch. Jany, A. Halimaoui, E. Ghegin, Bertrand Szelag, Isabelle Sagnes, Ph. Rodriguez, Fabrice Nemouchi, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de photonique et de nanostructures (LPN), Centre National de la Recherche Scientifique (CNRS), ANR: ANR-10-AIRT-05,Programme Investissements d’Avenir, and ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010)
- Subjects
Materials science ,Silicon ,Hybrid silicon laser ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Silicon on insulator ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,020210 optoelectronics & photonics ,Heterogeneously integrated III- V laser on Silicon ,Silicon Photonics ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,010302 applied physics ,Silicon photonics ,business.industry ,Semiconductor ,CMOS ,chemistry ,visual_art ,Electronic component ,visual_art.visual_art_medium ,Optoelectronics ,Photonics ,business ,Contact integration - Abstract
International audience; Silicon photonics is of great interest as it opens the way to large bandwidth and high data rates. A pioneer Silicon photonics scheme consists in integrating III-V lasers on the SOI substrates containing the passive components. However, key developments are necessary to co-integrate III-V devices with CMOS very large scale integration (VLSI). In this paper we propose a CMOS-compatible integration scheme of contacts (i.e. semiconductor metallization and plug) on III-V surfaces taking into account the limitations fixed by the operating laser device. Based on metallurgical, morphological, optical and electrical studies, processes are submitted and reviewed for the purpose of forming stable and reproducible contacts with low resistivity in a 200 millimeters fab line.
- Published
- 2016
29. In situ cleaning of InGaAs surfaces prior to low contact resistance metallization
- Author
-
E. Ghegin, Laura Toselli, Ph. Rodriguez, Fabrice Nemouchi, Nicolas Chevalier, Eugénie Martinez, Névine Rochat, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), ANR-13-NANO-0001,MOSINAS,MOSFET à hétérostructure et film ultra mince d'InAs sur substrat silicium(2013), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), ANR: ANR-10-AIRT-05,Programme Investissements d’Avenir, and ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010)
- Subjects
inorganic chemicals ,Materials science ,InGaAs ,Hydrogen ,Analytical chemistry ,chemistry.chemical_element ,Native oxides ,02 engineering and technology ,Surface finish ,01 natural sciences ,X-ray photoelectron spectroscopy ,0103 physical sciences ,Remote plasma ,XPS ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Arsenic ,010302 applied physics ,Contact resistance ,Plasma ,Surface pretreatment ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Direct plasma ,chemistry ,FTIR ,0210 nano-technology ,Indium - Abstract
International audience; In this work, we studied the pretreatment of InGaAs layers by employing Ar-and He-based direct plasmas and NH$_3$ , H$_2$ , NF$_3$ /NH$_3$ remote plasmas. All the remote plasmas involved in this study were inadequate to remove the InGaAs native oxides. Moreover, for NF$_3$ /NH$_3$ exposed samples, we noticed the addition of undesirable In–F and Ga–F bonds. Concerning Ar and He direct plasmas, investigations exhibited that both seem to be efficient for removing arsenic oxides whereas the elimination of indium oxides is more effective with Ar plasma. We also studied the addition of hydrogen into He direct plasma and we demonstrated that increasing the H2 content leads to decreasing the removal of arsenic oxides. The impact on indium oxides is also notable as we observed a reducing effect of hydrogen on indium and the emergence of In–In type bonds. Finally, whatever the plasma pretreatment, no degradation of surface morphology and roughness was observed by AFM. The RMS values obtained after surface treatments are similar with the ones acquired for reference samples.
- Published
- 2016
30. Impact of Pt on the phase formation sequence, morphology, and electrical properties of Ni(Pt)/Ge0.9Sn0.1 system during solid-state reaction
- Author
-
Ph. Rodriguez, Vincent Reboud, Jean-Paul Barnes, Virginie Loup, Nicolas Chevalier, Andrea Quintero, Patrice Gergaud, J.M. Hartmann, Fabrice Nemouchi, and J. Aubin
- Subjects
010302 applied physics ,Diffraction ,Morphology (linguistics) ,Materials science ,Analytical chemistry ,General Physics and Astronomy ,02 engineering and technology ,Surface finish ,021001 nanoscience & nanotechnology ,01 natural sciences ,Reciprocal lattice ,Phase (matter) ,0103 physical sciences ,X-ray crystallography ,Surface roughness ,Thin film ,0210 nano-technology - Abstract
Ni-GeSn based materials are promising in order to obtain contacts in complementary metal oxide semiconductor and Si photonic devices. In this work, a systematic and comprehensive study of the solid-state reaction between NiPt thin films and Ge0.9Sn0.1 layers is carried out. A particular focus is given on the impact of the addition of 10 at. % of Pt in Ni thin films. In situ X-ray diffraction and in-plane reciprocal space map measurements reveal a sequential growth in which the first phase appearing corresponds to a Ni-rich phase: (Ni0.9Pt0.1)5(Ge0.9Sn0.1)3. Then, at 245 °C, the Ni-rich phase vanishes to the benefit of the mono-stanogermanide phase (Ni0.9Pt0.1)(Ge0.9Sn0.1), which is unstable. At 360 °C, a more stable (Ni1– yPty)(Ge1– xSnx) phase is obtained concomitantly to the formation of PtSnx compounds. Finally, Sn segregation occurs at even higher temperatures. Even if Pt addition in Ni thin films complicates the phase formation sequence, it positively impacts the surface morphology and roughness, delays film agglomeration and Sn segregation, and stabilizes the electrical properties of the stanogermanide in a wide range of temperatures.
- Published
- 2018
31. InGaAs surface pretreatment prior to metal solid-state reactions for low resistance contacts
- Author
-
Névine Rochat, Laura Toselli, Fabrice Nemouchi, Nicolas Chevalier, Eugénie Martinez, E. Ghegin, Ph. Rodriguez, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), ANR-13-NANO-0001,MOSINAS,MOSFET à hétérostructure et film ultra mince d'InAs sur substrat silicium(2013), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010), and ANR: ANR-10-AIRT-05,Programme Investissements d’Avenir
- Subjects
010302 applied physics ,Materials science ,Argon ,Silicon ,Hydrogen ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Plasma ,021001 nanoscience & nanotechnology ,01 natural sciences ,Metal ,chemistry.chemical_compound ,Chemical engineering ,chemistry ,13. Climate action ,visual_art ,0103 physical sciences ,visual_art.visual_art_medium ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS ,Indium ,Arsenic ,Indium gallium arsenide - Abstract
We have investigated the impact of various plasma treatments on InGaAs layers. Argon- and helium-based direct plasmas are more efficient than remote plasmas for the removal of InGaAs native oxides. We have demonstrated that the nature of direct plasma influences the InGaAs oxides removal efficiency. Indeed, both types of plasma seem to be efficient for removing arsenic oxides whereas the elimination of In oxides is more effective with Ar plasma. Hydrogen addition in He plasma impacts the removal of InGaAs oxides and appears to have a reducing effect on indium atoms. Whatever the nature of the pretreatment, the surface morphology and roughness of InGaAs layers were not significantly impacted.
- Published
- 2015
32. Influence of the substrate on the solid-state reaction of ultra-thin Ni film with a In0.53Ga0.47As under-layer by means of full 3D reciprocal space mapping
- Author
-
S. Zhiou, Fabrice Nemouchi, Patrice Gergaud, Ph. Rodriguez, and T. Nguyen Thanh
- Subjects
010302 applied physics ,Materials science ,genetic structures ,Silicon ,Annealing (metallurgy) ,Metallurgy ,Intermetallic ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,chemistry.chemical_compound ,Nickel ,Reciprocal lattice ,chemistry ,0103 physical sciences ,Indium phosphide ,Thin film ,0210 nano-technology ,Indium gallium arsenide - Abstract
We studied the solid-state reaction of Ni thin films with InGaAs layers grown on InP or Si substrates. The inter-metallics obtained carried an hexagonal structure, but yielded a difference in orientation regarding either the substrates or the annealing temperature.
- Published
- 2015
33. Optical properties of BxInyGa1-x-yAs/GaAs grown by Metal Organic Chemical Vapor deposition for solar cell
- Author
-
Hassen Maaref, R. Hamila, Yves Monteil, Faouzi Saidi, Ph. Rodriguez, and Laurent Auvray
- Subjects
Materials science ,Organic solar cell ,business.industry ,Photovoltaic system ,Hybrid solar cell ,Quantum dot solar cell ,Polymer solar cell ,law.invention ,Multiple exciton generation ,law ,Solar cell ,Electronic engineering ,Optoelectronics ,Plasmonic solar cell ,business - Abstract
The present invention further includes a method for substantially lattice matching single-crystal III–V semiconductor layers by including boron in the chemical structure of active cells layers in multi-junction solar. Solar photovoltaic devices, i.e., solar cells, are devices capable of converting solar radiation into usable electrical energy. The energy conversion occurs as the photovoltaic-effect which occurs in a cell composed of a p-type semiconductor layer adjacent to an n-type semiconductor layer, here after referred to as p-n junction cell. Solar radiation impinging on a solar cell and absorbed by active region of semiconductor material generates electricity. Therefore, a quaternary material III–V semiconductor BInGaAs has been tested for the application in solar cells [1]. Single layer has been grown lattice matched on GaAs using Metal Organic Chemical Vapor deposition (MOCVD). Optical study has been achieved of B 0.0108 In 0.36 Ga 0.062 As quantum well. At room temperature (300 K) PL study has shown an asymmetric PL band is around 1.19 eV of the emission energies. Based in these experimental results, we have suggested that the band gap energies of BInGaAs QW could be adequate for active cells layers in multijunction solar.
- Published
- 2010
34. The Imaging Magnetograph eXperiment (IMaX) for the Sunrise balloon-borne solar observatory
- Author
-
P. Mellado, M. Menendez, D. Orozco Suárez, J. M. Jeronimo, Ph. Rodriguez, Juan L. Ramos, B. Aparicio, W. Schmidt, J. C. del Toro Iniesta, V. Domingo, C. Pastor, A. C. López Jiménez, Sami K. Solanki, R. Morales, Alberto Álvarez-Herrero, Javier Piqueras, R. L. Heredero, V. Martínez Pillet, W. Deutsch, M. Knoelker, Peter Barthol, Achim Gandorfer, R. Meller, Alex Feller, Thomas Berkefeld, L. R. Bellot Rubio, J. L. Gasent Blesa, F. Girela, M. Reina, J. A. Bonet, Tomás Belenguer, E. Ballesteros, S. Vargas Domínguez, A. Sánchez, M. Balaguer, M. Herranz, H. Laguna, Manuel Collados, B. Grauf, L. González Fernández, Néstor Uribe-Patarroyo, Gonzalo Ramos, López Heredero, R. [0000-0002-2197-8388], López Jiménez, A. [0000-0002-6297-0681], Balaguer, M. [0000-0003-4738-7727], Del Toro Iniesta, J. C. [0000-0002-3387-026X], Reina Aranda, M. [0000-0003-0248-2771], Álvarez Herrero, A. [0000-0001-9228-3412], Herranz de la Revilla, M. L. [0000-0003-4343-6632], Morales Muñoz, R. [0000-0003-1661-0594], Pastor, C. [0000-0001-9631-9558], Gasent Blesa, J. L. [0000-0002-1225-4177], Collados, M. [0000-0002-6210-9648], Jerónimo, J. M. [0000-0002-4944-5823], Bellot Rubio, L. R. [0000-0001-8669-8857], Martínez Pillet, V. [0000-0001-7764-6895], Ministerio de Ciencia e Innovación (MICINN), Deutsches Zentrum für Luft- und Raumfahrt (DLR), and National Aeronautics and Space Administration (NASA)
- Subjects
Physics ,Solar observatory ,Polarimetry ,Astrophysics::Instrumentation and Methods for Astrophysics ,FOS: Physical sciences ,Polarimeter ,Astronomy and Astrophysics ,Astrophysics ,Spectral line ,Integrated Sun Observations Polarization ,symbols.namesake ,Wavelength ,Magnetic Fields ,Astrophysics - Solar and Stellar Astrophysics ,Space and Planetary Science ,Velocity Fields ,symbols ,Stokes parameters ,Astrophysics::Solar and Stellar Astrophysics ,Instrumentation and Data Management ,Spectral resolution ,Spectrograph ,Solar and Stellar Astrophysics (astro-ph.SR) - Abstract
The Imaging Magnetograph eXperiment (IMaX) is a spectropolarimeter built by four institutions in Spain that flew on board the Sunrise balloon-borne telesocope in June 2009 for almost six days over the Arctic Circle. As a polarimeter IMaX uses fast polarization modulation (based on the use of two liquid crystal retarders), real-time image accumulation, and dual beam polarimetry to reach polarization sensitivities of 0.1%. As a spectrograph, the instrument uses a LiNbO3 etalon in double pass and a narrow band pre-filter to achieve a spectral resolution of 85 mAA. IMaX uses the high Zeeman sensitive line of Fe I at 5250.2 AA and observes all four Stokes parameters at various points inside the spectral line. This allows vector magnetograms, Dopplergrams, and intensity frames to be produced that, after reconstruction, reach spatial resolutions in the 0.15-0.18 arcsec range over a 50x50 arcsec FOV. Time cadences vary between ten and 33 seconds, although the shortest one only includes longitudinal polarimetry. The spectral line is sampled in various ways depending on the applied observing mode, from just two points inside the line to 11 of them. All observing modes include one extra wavelength point in the nearby continuum. Gauss equivalent sensitivities are four Gauss for longitudinal fields and 80 Gauss for transverse fields per wavelength sample. The LOS velocities are estimated with statistical errors of the order of 5-40 m/s. The design, calibration and integration phases of the instrument, together with the implemented data reduction scheme are described in some detail., Comment: 17 figures
- Published
- 2010
- Full Text
- View/download PDF
35. Influence of dual Ge/C pre-amorphization implantation on the Ni1−Pt Si phase nucleation and growth mechanisms
- Author
-
Ph. Rodriguez, L. Lachal, Nicolas Bernier, Fabrice Nemouchi, A. Jannaud, S. Guillemin, Patrice Gergaud, and Frédéric Mazen
- Subjects
010302 applied physics ,Materials science ,Nucleation ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Transmission electron microscopy ,Phase (matter) ,0103 physical sciences ,Silicide ,Grain boundary diffusion coefficient ,Electrical and Electronic Engineering ,0210 nano-technology ,Layer (electronics) - Abstract
The impact of dual Ge/C pre-amorphization implantation (PAI) processes on the Ni0.9Pt0.1(7 nm)/Si system phase sequence has been investigated by advanced X-ray diffraction techniques and transmission electron microscopy (TEM). Using a high carbon implantation dose, it is found that the expected development of Ni-rich phases is not observed: a thick amorphous Ni1−xPtxSi layer developed until Ni0.9Pt0.1 full consumption, followed by the development of a thin crystalline Ni1−xPtxSi layer along the TiN interface. By increasing the temperature further, this last one abruptly thicken, creating the final silicide layer. An alternative model to grain boundary diffusion is discussed, accounting for the final distribution of the foreign species within the silicide layer. The use of Ge in dual Ge/C PAI processes is proposed to impact the growing mechanisms by alloying to fine-tune the carbon distribution in the substrate sub-surface.
- Full Text
- View/download PDF
36. Chronic Toxicity of Iron to Aquatic Organisms under Variable pH, Hardness, and Dissolved Organic Carbon Conditions.
- Author
-
Cardwell AS, Rodriguez PH, Stubblefield WA, DeForest DK, and Adams WJ
- Subjects
- Animals, Aquatic Organisms physiology, Dissolved Organic Matter, Iron toxicity, Hardness, Hydrogen-Ion Concentration, Water Pollutants, Chemical toxicity, Cyprinidae physiology
- Abstract
A series of chronic toxicity tests was conducted exposing three aquatic species to iron (Fe) in laboratory freshwaters. The test organisms included the green algae Raphidocelis subcapitata, the cladoceran Ceriodaphnia dubia, and the fathead minnow Pimephales promelas. They were exposed to Fe (as Fe (III) sulfate) in waters under varying pH (5.9-8.5), hardness (10.3-255 mg/L CaCO
3 ), and dissolved organic carbon (DOC; 0.3-10.9 mg/L) conditions. Measured total Fe was used for calculations of biological effect concentrations because dissolved Fe was only a fraction of nominal and did not consistently increase as total Fe increased. This was indicative of the high concentrations of Fe required to elicit a biological response and that Fe species that did not pass through a 0.20- or 0.45-µm filter (dissolved fraction) contributed to Fe toxicity. The concentrations frequently exceeded the solubility limits of Fe(III) under circumneutral pH conditions relevant to most natural surface waters. Chronic toxicity endpoints (10% effect concentrations [EC10s]) ranged from 442 to 9607 µg total Fe/L for R. subcapitata growth, from 383 to 15 947 µg total Fe/L for C. dubia reproduction, and from 192 to 58,308 µg total Fe/L for P. promelas growth. Toxicity to R. subcapitata was variably influenced by all three water quality parameters, but especially DOC. Toxicity to C. dubia was influenced by DOC, less so by hardness, but not by pH. Toxicity to P. promelas was variable, but greatest under low hardness, low pH, and low DOC conditions. These data were used to develop an Fe-specific, bioavailability-based multiple linear regression model as part of a companion publication. Environ Toxicol Chem 2023;42:1371-1385. © 2023 The Authors. Environmental Toxicology and Chemistry published by Wiley Periodicals LLC on behalf of SETAC., (© 2023 The Authors. Environmental Toxicology and Chemistry published by Wiley Periodicals LLC on behalf of SETAC.)- Published
- 2023
- Full Text
- View/download PDF
37. Copper alloys' metal migration and bioaccessibility in saliva and gastric fluid.
- Author
-
Delbeke K, Baken S, Simbor LP, Rodriguez PH, Brouwers T, Verougstraete V, Binks S, Oller A, Danzeisen R, and Gilles M
- Subjects
- Alloys metabolism, Animals, Biological Availability, Copper metabolism, Foreign-Body Migration metabolism, Gastric Juice drug effects, Gastric Juice metabolism, Humans, Saliva drug effects, Saliva metabolism, Swine, Alloys analysis, Copper analysis, Gastric Juice chemistry, Saliva chemistry
- Abstract
The oral bioaccessibility of copper alloys and pure metals was assessed using in vitro methods with synthetic saliva and gastric fluid. The metal-specific migration rates from polished alloy surfaces are higher in gastric (pH 1.5) than in saliva fluid (pH 7.2). In both media, migrations are higher for lead than for other metals. The bioaccessible metal concentrations in massive copper alloys, after 2 h in gastric fluid, was only <0.01%-0.18%, consistent with the low surface reactivity of copper alloys (defined as 1 mm spheres). The average metal-specific migrations of cobalt, copper, nickel and lead from most of the tested copper alloys in gastric media are comparable to the ones from their pure metals. The data further show that the bioaccessibility of metals in massive copper alloys primarily depends on the bioelution medium, the exposed surface area and the composition of the alloy. The tested copper alloys show only limited evidence for influence of alloy surface microstructure. This is contrary to findings for other alloys such as stainless steel. Additional investigations on other copper alloys could allow to further refine these conclusions. These findings are useful for establishing the hazard and risk profile of copper alloys following oral exposure., (Copyright © 2020 Elsevier Inc. All rights reserved.)
- Published
- 2020
- Full Text
- View/download PDF
38. Gold Standard Cholera Diagnostics Are Tarnished by Lytic Bacteriophage and Antibiotics.
- Author
-
Nelson EJ, Grembi JA, Chao DL, Andrews JR, Alexandrova L, Rodriguez PH, Ramachandran VV, Sayeed MA, Wamala JF, Debes AK, Sack DA, Hryckowian AJ, Haque F, Khatun S, Rahman M, Chien A, Spormann AM, and Schoolnik GK
- Subjects
- Anti-Bacterial Agents pharmacology, Bangladesh, Disease Outbreaks, Humans, Bacteriophages genetics, Cholera diagnosis, Cholera epidemiology, Vibrio cholerae genetics
- Abstract
A fundamental, clinical, and scientific concern is how lytic bacteriophage, as well as antibiotics, impact diagnostic positivity. Cholera was chosen as a model disease to investigate this important question, because cholera outbreaks enable large enrollment, field methods are well established, and the predatory relationship between lytic bacteriophage and the etiologic agent Vibrio cholerae share commonalities across bacterial taxa. Patients with diarrheal disease were enrolled at two remote hospitals in Bangladesh. Diagnostic performance was assessed as a function of lytic bacteriophage detection and exposure to the first-line antibiotic azithromycin, detected in stool samples by mass spectrometry. Among diarrheal samples positive by nanoliter quantitative PCR (qPCR) for V. cholerae ( n = 78/849), the odds that a rapid diagnostic test (RDT) or qPCR was positive was reduced by 89% (odds ratio [OR], 0.108; 95% confidence interval [CI], 0.002 to 0.872) and 87% (OR, 0.130; 95% CI, 0.022 to 0.649), respectively, when lytic bacteriophage were detected. The odds that an RDT or qPCR was positive was reduced by more than 99% (OR, 0.00; 95% CI, 0.00 to 0.28) and 89% (OR, 0.11; 95% CI, 0.03 to 0.44), respectively, when azithromycin was detected. Analysis of additional samples from South Sudan found similar phage effects on RDTs; antibiotics were not assayed. Cholera burden estimates may improve by accommodating for the negative effects of lytic bacteriophage and antibiotic exposure on diagnostic positivity. One accommodation is using bacteriophage detection as a proxy for pathogen detection. These findings have relevance for other diagnostic settings where bacterial pathogens are vulnerable to lytic bacteriophage predation., (Copyright © 2020 Nelson et al.)
- Published
- 2020
- Full Text
- View/download PDF
39. Bioavailability Assessment of Metals in Freshwater Environments: A Historical Review.
- Author
-
Adams W, Blust R, Dwyer R, Mount D, Nordheim E, Rodriguez PH, and Spry D
- Subjects
- Animals, Aquatic Organisms metabolism, Biological Availability, Congresses as Topic, Environmental Monitoring history, Gills chemistry, Gills metabolism, History, 20th Century, History, 21st Century, Ligands, Metals history, Models, Biological, Water Pollutants, Chemical history, Water Quality, Aquatic Organisms drug effects, Environmental Monitoring methods, Fresh Water chemistry, Metals metabolism, Water Pollutants, Chemical metabolism
- Abstract
Many metals (aluminum, cadmium, cobalt, copper, nickel, lead, zinc) are widely studied environmental contaminants because of their ubiquity, potential toxicity to aquatic life, and tendency for toxicity to vary widely as a function of water chemistry. The interactions between metal and water chemistry influence metal "bioavailability," an index of the rate and extent to which the metal reaches the site of toxic action. The implications of metal bioavailability for ecological risk assessment are large, with as much as a 100-fold variability across a range of water chemistries in surface waters. Beginning as early as the 1930s, considerable research effort was expended toward documenting and understanding metal bioavailability as a function of total and dissolved metal, water hardness, natural organic matter, pH, and other water characteristics. The understanding of these factors and improvements in both analytical and computational chemistry led to the development of modeling approaches intended to describe and predict the relationship between water chemistry and metal toxicity, including the free ion activity model, the gill surface interaction model, the biotic ligand model, and additional derivatives and regression models that arose from similar knowledge. The arc of these scientific advances can also be traced through the evolution of the US Environmental Protection Agency's ambient water quality criteria over the last 50 yr, from guidance in the "Green Book" (1968) to metal-specific criteria produced in the last decade. Through time, water quality criteria in many jurisdictions have incorporated increasingly sophisticated means of addressing metal bioavailability. The present review discusses the history of scientific understanding of metal bioavailability and the development and application of models to incorporate this knowledge into regulatory practice. Environ Toxicol Chem 2019;39:48-59. © 2019 SETAC., (© 2019 SETAC.)
- Published
- 2020
- Full Text
- View/download PDF
40. Determination of Bioavailable Aluminum in Natural Waters in the Presence of Suspended Solids.
- Author
-
Rodriguez PH, Arbildua JJ, Villavicencio G, Urrestarazu P, Opazo M, Cardwell AS, Stubblefield W, Nordheim E, and Adams W
- Subjects
- Aluminum metabolism, Animals, Biological Availability, Cladocera metabolism, Water Pollutants, Chemical metabolism, Aluminum toxicity, Cladocera drug effects, Fresh Water chemistry, Minerals chemistry, Water Pollutants, Chemical toxicity
- Abstract
Analyses of natural waters frequently show elevated levels of total aluminum (Al) attributable to acid extraction of Al from the total suspended solids (TSS) minerals. Hence, there is a need for an analytical method that measures only bioavailable Al. Natural waters high in TSS were collected to study the chronic effects of Al on Ceriodaphnia dubia. In the collected waters TSS ranged from 30 to 411 mg/L; total Al concentrations ranged from 2.0 to 44.8 mg/L. The TSS in natural waters inhibited reproduction of C. dubia up to 40% in comparison to the same filtered waters. This inhibition did not correlate with the concentration of TSS or total Al; it was attributed to nutritional deficiency and was prevented by increasing the food supply. To demonstrate that toxicity can be measured in natural waters, samples with elevated TSS were spiked with soluble Al, and survival and reproduction were measured in chronic studies performed at pH 6.3 and 8.0. To properly characterize the Al concentrations in the toxicity studies, a method was needed that could discriminate bioavailable Al from mineral forms of Al. An extraction method at pH 4 for bioavailable Al was developed and evaluated using C. dubia chronic toxicity studies in the presence of TSS. It is concluded that the proposed method is better able to discriminate chronic toxicity effects attributable to bioavailable Al from mineralized nontoxic forms of Al compared with existing methods using total or total recoverable Al (i.e., extraction at pH ≤ 1.5). We propose that this new method be used when assessing the potential for Al in natural surface waters to cause toxicity. Environ Toxicol Chem 2019;38:1668-1681. © 2019 The Authors. Environmental Toxicology and Chemistry published by Wiley Periodicals, Inc. on behalf of SETAC., (© 2019 The Authors. Environmental Toxicology and Chemistry published by Wiley Periodicals, Inc. on behalf of SETAC.)
- Published
- 2019
- Full Text
- View/download PDF
41. Modeling the Fate of Metal Concentrates in Surface Water.
- Author
-
Carbonaro RF, Farley KJ, Delbeke K, Baken S, Arbildua JJ, Rodriguez PH, and Rader KJ
- Subjects
- Environmental Monitoring methods, Geologic Sediments chemistry, Kinetics, Lakes chemistry, Minerals analysis, Metals analysis, Models, Theoretical, Water chemistry, Water Pollutants, Chemical analysis
- Abstract
Metals present in concentrates are in a solid form and are not bioavailable, but they can dissolve or potentially transform to more soluble forms. Transformation/dissolution laboratory protocols have been developed to assess the importance of dissolution of sparingly soluble metal substances in the context of hazard classification; however, these tests represent worst-case scenarios for metal bioavailability because attenuation mechanisms such as complexation, sorption, and transport to the sediment are not considered. A unit world model (UWM) for metals in lakes, tableau input coupled kinetics equilibrium transport (TICKET)-UWM, has been developed that considers key processes affecting metal transport, fate, and toxicity including complexation by aqueous inorganic and ligands, partitioning to dissolved organic carbon (DOC) and particulate organic carbon (POC), precipitation, and transport of dissolved metals and solids between the water column and sediment. The TICKET-UWM model was used to assess the fate of a metal concentrate and dissolved metal ions released from the concentrate following an instantaneous input to a generalized lake. Concentrate dissolution rates in the water column were parameterized using results from batch transformation/dissolution tests for 2 specific concentrates containing lead (Pb), copper (Cu), and cobalt (Co). The TICKET-UWM results for a generalized lake environment showed that water column concentrations of metals in the lake environment after 28 d were several orders of magnitude lower than the 28-d concentration from the transformation/dissolution tests because Pb, Cu, and Co partitioned to POC in the water column and were subsequently removed due to settling. Resuspension of sediment served to increase total metal in the water column, but the resulting concentrations were still much lower than the 28-d concentrations from the transformation/dissolution tests. Information from TICKET-UWM could be used to refine the environmental hazard profiles of metals. Environ Toxicol Chem 2019;38:1256-1272. © 2019 SETAC., (© 2018 SETAC.)
- Published
- 2019
- Full Text
- View/download PDF
42. The Spleen Is an HIV-1 Sanctuary During Combined Antiretroviral Therapy.
- Author
-
Nolan DJ, Rose R, Rodriguez PH, Salemi M, Singer EJ, Lamers SL, and McGrath MS
- Subjects
- Adult, Aged, Genes, gag, HIV Infections mortality, HIV Seropositivity, HIV-1 genetics, Humans, Male, Middle Aged, Phylogeny, Polymerase Chain Reaction, Proviruses genetics, RNA, Viral blood, Viral Load, Viral Proteins genetics, Anti-Retroviral Agents therapeutic use, Antiretroviral Therapy, Highly Active, HIV Infections virology, HIV-1 drug effects, Spleen virology
- Abstract
Combined antiretroviral therapy (cART) does not eradicate HIV, which persists for years and can re-establish replication if treatment is stopped. The current challenge is identifying those tissues harboring virus through cART. Here, we used HIV env-nef single genome sequencing and HIV gag droplet digital PCR (ddPCR) to survey 50 tissues from five subjects on cART with no detectable plasma viral load at death. The spleen most consistently contained multiple proviral and expressed sequences (4/5 participants). Spleen-derived HIV demonstrated two distinct phylogenetic patterns: multiple identical sequences, often from different tissues, as well as diverse viral sequences on long terminal branches. Our results suggested that ddPCR may overestimate the size of the tissue-based viral reservoir. The spleen, a lymphatic organ at the intersection of the immune and circulatory systems, may play a key role in viral persistence.
- Published
- 2018
- Full Text
- View/download PDF
43. Development and application of a biotic ligand model for predicting the chronic toxicity of dissolved and precipitated aluminum to aquatic organisms.
- Author
-
Santore RC, Ryan AC, Kroglund F, Rodriguez PH, Stubblefield WA, Cardwell AS, Adams WJ, and Nordheim E
- Subjects
- Animals, Aquatic Organisms drug effects, Chemical Precipitation, Fishes physiology, Gills drug effects, Gills metabolism, Humic Substances analysis, Invertebrates drug effects, Invertebrates physiology, Ligands, Solubility, Water chemistry, Water Pollutants, Chemical toxicity, Aluminum toxicity, Aquatic Organisms physiology, Models, Theoretical, Toxicity Tests, Chronic
- Abstract
Aluminum (Al) toxicity to aquatic organisms is strongly affected by water chemistry. Toxicity-modifying factors such as pH, dissolved organic carbon (DOC), hardness, and temperature have a large impact on the bioavailability and toxicity of Al to aquatic organisms. The importance of water chemistry on the bioavailability and toxicity of Al suggests that interactions between Al and chemical constituents in exposures to aquatic organisms can affect the form and reactivity of Al, thereby altering the extent to which it interacts with biological membranes. These types of interactions have previously been observed in the toxicity data for other metals, which have been well described by the biotic ligand model (BLM) framework. In BLM applications to other metals (including cadmium, cobalt, copper, lead, nickel, silver, and zinc), these interactions have focused on dissolved metal. A review of Al toxicity data shows that concentrations of Al that cause toxicity are frequently in excess of solubility limitations. Aluminum solubility is strongly pH dependent, with a solubility minimum near pH 6 and increasing at both lower and higher pH values. For the Al BLM, the mechanistic framework has been extended to consider toxicity resulting from a combination of dissolved and precipitated Al to recognize the solubility limitation. The resulting model can effectively predict toxicity to fish, invertebrates, and algae over a wide range of conditions. Environ Toxicol Chem 2018;37:70-79. © 2017 SETAC., (© 2017 SETAC.)
- Published
- 2018
- Full Text
- View/download PDF
44. Effect of Fe (III) on Pseudokirchneriella subcapitata at circumneutral pH in standard laboratory tests is explained by nutrient sequestration.
- Author
-
Arbildua JJ, Villavicencio G, Urrestarazu P, Opazo M, Brix KV, Adams WJ, and Rodriguez PH
- Subjects
- Biomass, Chlorophyta growth & development, Ecotoxicology, Ferric Compounds chemistry, Fresh Water chemistry, Hydrogen-Ion Concentration, Solubility, Toxicity Tests, Water Pollutants, Chemical chemistry, Water Quality standards, Chlorophyta drug effects, Ferric Compounds toxicity, Models, Theoretical, Phosphorus analysis, Water Pollutants, Chemical toxicity
- Abstract
The complex chemistry of iron (Fe) at circumneutral pH in oxygenated waters and the poor correlation between ecotoxicity results in laboratory and natural waters have led to regulatory approaches for iron based on field studies (US Environmental Protection Agency Water Quality Criteria and European Union Water Framework Directive proposal for Fe). The results of the present study account for the observed differences between laboratory and field observations for Fe toxicity to algae (Pseudokirchneriella subcapitata). Results from standard 72-h assays with Fe at pH 6.3 and pH 8 resulted in similar toxicity values measured as algal biomass, with 50% effect concentrations (EC50) of 3.28 mg/L and 4.95 mg/L total Fe(III), respectively. At the end of the 72-h exposure, however, dissolved Fe concentrations were lower than 30 μg/L for all test concentrations, making a direct toxic effect of dissolved iron on algae unlikely. Analysis of nutrient concentrations in the artificial test media detected phosphorus depletion in a dose-dependent manner that correlated well with algal toxicity. Subsequent experiments adding excess phosphorus after Fe precipitation eliminated the toxicity. These results strongly suggest that observed Fe(III) toxicity on algae in laboratory conditions is a secondary effect of phosphorous depletion. Environ Toxicol Chem 2017;36:952-958. © 2016 SETAC., (© 2016 SETAC.)
- Published
- 2017
- Full Text
- View/download PDF
45. The music of morality and logic.
- Author
-
Mesz B, Rodriguez Zivic PH, Cecchi GA, Sigman M, and Trevisan MA
- Abstract
Musical theory has built on the premise that musical structures can refer to something different from themselves (Nattiez and Abbate, 1990). The aim of this work is to statistically corroborate the intuitions of musical thinkers and practitioners starting at least with Plato, that music can express complex human concepts beyond merely "happy" and "sad" (Mattheson and Lenneberg, 1958). To do so, we ask whether musical improvisations can be used to classify the semantic category of the word that triggers them. We investigated two specific domains of semantics: morality and logic. While morality has been historically associated with music, logic concepts, which involve more abstract forms of thought, are more rarely associated with music. We examined musical improvisations inspired by positive and negative morality (e.g., good and evil) and logic concepts (true and false), analyzing the associations between these words and their musical representations in terms of acoustic and perceptual features. We found that music conveys information about valence (good and true vs. evil and false) with remarkable consistency across individuals. This information is carried by several musical dimensions which act in synergy to achieve very high classification accuracy. Positive concepts are represented by music with more ordered pitch structure and lower harmonic and sensorial dissonance than negative concepts. Music also conveys information indicating whether the word which triggered it belongs to the domains of logic or morality (true vs. good), principally through musical articulation. In summary, improvisations consistently map logic and morality information to specific musical dimensions, testifying the capacity of music to accurately convey semantic information in domains related to abstract forms of thought.
- Published
- 2015
- Full Text
- View/download PDF
46. Inter-laboratory validation of bioaccessibility testing for metals.
- Author
-
Henderson RG, Verougstraete V, Anderson K, Arbildua JJ, Brock TO, Brouwers T, Cappellini D, Delbeke K, Herting G, Hixon G, Odnevall Wallinder I, Rodriguez PH, Van Assche F, Wilrich P, and Oller AR
- Subjects
- Humans, Metals chemistry, Reproducibility of Results, Body Fluids metabolism, Laboratories standards, Metals analysis
- Abstract
Bioelution assays are fast, simple alternatives to in vivo testing. In this study, the intra- and inter-laboratory variability in bioaccessibility data generated by bioelution tests were evaluated in synthetic fluids relevant to oral, inhalation, and dermal exposure. Using one defined protocol, five laboratories measured metal release from cobalt oxide, cobalt powder, copper concentrate, Inconel alloy, leaded brass alloy, and nickel sulfate hexahydrate. Standard deviations of repeatability (sr) and reproducibility (sR) were used to evaluate the intra- and inter-laboratory variability, respectively. Examination of the sR:sr ratios demonstrated that, while gastric and lysosomal fluids had reasonably good reproducibility, other fluids did not show as good concordance between laboratories. Relative standard deviation (RSD) analysis showed more favorable reproducibility outcomes for some data sets; overall results varied more between- than within-laboratories. RSD analysis of sr showed good within-laboratory variability for all conditions except some metals in interstitial fluid. In general, these findings indicate that absolute bioaccessibility results in some biological fluids may vary between different laboratories. However, for most applications, measures of relative bioaccessibility are needed, diminishing the requirement for high inter-laboratory reproducibility in absolute metal releases. The inter-laboratory exercise suggests that the degrees of freedom within the protocol need to be addressed., (Copyright © 2014 Elsevier Inc. All rights reserved.)
- Published
- 2014
- Full Text
- View/download PDF
47. Migration protocol to estimate metal exposure from mouthing copper and tin alloy objects.
- Author
-
Urrestarazu P, Villavicencio G, Opazo M, Arbildua J, Boreiko C, Delbeke K, and Rodriguez PH
- Subjects
- Alloys analysis, Alloys chemistry, Animals, Child, Child, Preschool, Copper analysis, Humans, Infant, Infant, Newborn, Mucins chemistry, Saliva chemistry, Swine, Tin analysis, Copper chemistry, Environmental Exposure, Environmental Monitoring methods, In Vitro Techniques methods, Lead chemistry, Tin chemistry
- Abstract
Background: Low blood lead levels previously thought to pose no health risks may have an adverse impact on the cognitive development of children. This concern has given rise to new regulatory restrictions upon lead metal containing products intended for child use. However few reliable experimental testing methods to estimate exposure levels from these materials are available., Methods: The present work describes a migration test using a mimetic saliva fluid to estimate the chronic exposure of children to metals such as lead while mouthing metallic objects. The surrogate saliva medium was composed of: 150 mM NaCl, 0.16% porcine Mucin and 5 mM buffer MOPS, adjusted to pH 7.2. Alloys samples, in the form of polished metallic disc of known surface area, were subjected to an eight hours test., Results: Two whitemetal alloys Sn/Pb/Sb/Cu and three brass alloys Cu/Zn/Pb were tested using the saliva migration protocol. In the case of the whitemetal alloys, first order release kinetics resulting in the release of 0.03 and 0.51 μg lead/cm2 after 8 hours of tests were observed, for lead contents of 0.05-0.07% and 5.5%, respectively. Brasses exhibited linear incremental release rates of 0.043, 0.175 and 0.243 μg lead/cm2h for lead contents of 0.1-0.2%, 1.7-2.2% and 3.1-3.5%, respectively. The linear regression analysis of lead release rates relative to Pb content in brasses yielded a slope of 0.08 μg lead/cm2h%Pb (r2 = 0.92). Lead release rates were used to estimate the mean daily mouthing exposure of a child to lead, according to age-specific estimates of mouthing time behavior. Calculated daily intakes were used as oral inputs for the IEUBK toxicokinetic model, predicting only marginal changes in blood lead levels (0.2 μg lead/dL or less) for children aged 0.5 to 1 years old exposed to either class of alloy., Conclusions: The results of this study as a whole support the use of migration data of metal ions, rather than total metal content, to estimate health risk from exposure to metals and metal alloys substances in children.
- Published
- 2014
- Full Text
- View/download PDF
48. Perceptual basis of evolving Western musical styles.
- Author
-
Rodriguez Zivic PH, Shifres F, and Cecchi GA
- Subjects
- Acoustic Stimulation methods, Acoustic Stimulation trends, Algorithms, Computer Simulation, Humans, Models, Theoretical, Auditory Perception physiology, Cognition physiology, Music, Pitch Perception physiology
- Abstract
The brain processes temporal statistics to predict future events and to categorize perceptual objects. These statistics, called expectancies, are found in music perception, and they span a variety of different features and time scales. Specifically, there is evidence that music perception involves strong expectancies regarding the distribution of a melodic interval, namely, the distance between two consecutive notes within the context of another. The recent availability of a large Western music dataset, consisting of the historical record condensed as melodic interval counts, has opened new possibilities for data-driven analysis of musical perception. In this context, we present an analytical approach that, based on cognitive theories of music expectation and machine learning techniques, recovers a set of factors that accurately identifies historical trends and stylistic transitions between the Baroque, Classical, Romantic, and Post-Romantic periods. We also offer a plausible musicological and cognitive interpretation of these factors, allowing us to propose them as data-driven principles of melodic expectation.
- Published
- 2013
- Full Text
- View/download PDF
49. Application of an acute biotic ligand model to predict chronic copper toxicity to Daphnia magna in natural waters of Chile and reconstituted synthetic waters.
- Author
-
Villavicencio G, Urrestarazu P, Arbildua J, and Rodriguez PH
- Subjects
- Animals, Chile, Hydrogen-Ion Concentration, Lethal Dose 50, Ligands, Water Pollutants, Chemical toxicity, Copper toxicity, Daphnia drug effects, Fresh Water chemistry, Models, Biological
- Abstract
The objective of the present study was to assess the predictive capacity of the acute Cu biotic ligand model (BLM) as applied to chronic Cu toxicity to Daphnia magna in freshwaters from Chile and synthetic laboratory-prepared waters. Samples from 20 freshwater bodies were taken, chemically characterized, and used in the acute Cu BLM to predict the 21-d chronic Cu toxicity for D. magna. The half-maximal effective concentration (EC50) values, determined using the Organisation for Economic Co-operation and Development (OECD) 21-d reproduction test (OECD Method 211), were compared with the BLM simulated EC50 values. The same EC50 comparison was performed with the results of 19 chronic tests in synthetic media, with a wide range of hardness and alkalinity and a fixed 2 mg/L dissolved organic carbon (DOC) concentration. The acute BLM was modified only by adjustment of the accumulation associated with 50% of an effect value (EA50). The modified BLM model was able to predict, within a factor of two, 95% of the 21-d EC50 and 89% of the 21-d half-maximal lethal concentrations (LC50) in natural waters, and 100% of the 21-d EC50 and 21-d LC50 in synthetic waters. The regulatory implications of using a slightly modified version of an acute BLM to predict chronic effects are discussed., (Copyright © 2011 SETAC.)
- Published
- 2011
- Full Text
- View/download PDF
50. Biotic ligand model prediction of copper toxicity to daphnids in a range of natural waters in Chile.
- Author
-
Villavicencio G, Urrestarazu P, Carvajal C, De Schamphelaere KA, Janssen CR, Torres JC, and Rodriguez PH
- Subjects
- Animals, Carbon analysis, Carbon chemistry, Chile, Copper metabolism, Daphnia metabolism, Models, Biological, Organic Chemicals chemistry, Predictive Value of Tests, Toxicity Tests, Acute methods, Water Pollutants metabolism, Copper toxicity, Daphnia drug effects, Ligands, Water chemistry, Water Pollutants toxicity
- Abstract
The objective of this study was to assess the predictive capacity of the biotic ligand model (BLM) for acute copper toxicity to daphnids as applied to a number of freshwaters from Chile and to synthetic laboratory-prepared waters. Thirty-seven freshwater bodies were sampled, chemically characterized, and used to determine the copper concentration associated with the 50% of mortality (LC50) for Daphnia magna, Daphnia pulex, and Daphnia obtusa (native to Chile). The data were then used to run three versions of the acute copper BLM, and the predicted LC50s were compared to the observed ones. The same was done with synthetic assay media at various hardness and dissolved organic carbon (DOC) levels. The BLM versions differed in the affinity constants for some biotic ligand-ion pairs, stability constants for inorganic Cu complexes, and assumptions regarding Cu binding to DOC. All three versions showed a high degree of predictive performance, mostly within a twofold range of observed toxicity values. The D. obtusa data set was used to compare water quality criteria (WQC) derived from the observed toxicity values with those derived from either the BLM or the U.S. Environmental Protection Agency (U.S. EPA) procedure. For most low DOC waters, the three procedures generated similar WQCs. For the high-DOC waters, the EPA-derived criteria were significantly lower, that is, greatly overprotective. The results are also discussed in terms of the validation of the BLM for regulatory use.
- Published
- 2005
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.