43 results on '"Jung Chak Ahn"'
Search Results
2. A 1.2-Mpixel Indirect Time-of-Flight Image Sensor With 4-Tap 3.5-μm Pixels for Peak Current Mitigation and Multi-User Interference Cancellation
- Author
-
Jesuk Lee, Yi-tae Kim, Young-Chan Kim, Myeonggyun Kye, Hoyong Lee, Jaeil An, Myunghan Bae, Bumsik Chung, Sungyoung Seo, Daeyun Kim, Myoungoh Ki, Sooho Son, S.L. Cho, Yeomyung Kim, Min-Sun Keel, Jung-Chak Ahn, Youngsun Oh, Seung Chul Shin, Yong Hun Kwon, and Young-Gu Jin
- Subjects
Physics ,Optics ,Interference (communication) ,Single antenna interference cancellation ,Modulation ,business.industry ,Phase noise ,Demodulation ,Electrical and Electronic Engineering ,Image sensor ,business ,Image resolution ,Noise (electronics) - Abstract
A 1.2-Mpixel indirect time-of-flight (ToF) CMOS image sensor is presented to lower peak current and to cancel out multi-user interference. The proposed 4-tap 3.5- $\mu \text{m}$ demodulation pixel is optimally designed to improve quantum efficiency (QE) and demodulation contrast (DC). A new “multiple-interleaving” scheme is proposed to reduce the peak current and self-compensate fixed-pattern phase noise (FPPN) with the minimal side effect of DC imbalance. To cancel out interference from the other ToF sensors, a multi-user interference cancellation (MUIC) scheme based on pseudorandom modulation is adopted. From the measurement results, we achieve a high QE of 38% at 940 nm and a high DC of 96% and 80% at 100- and 200-MHz modulation frequencies, respectively. With the superior pixel performance, the depth noise of $\times $ 2 binning modes, respectively. The multiple-interleaving scheme suppresses the peak current to less than 0.9 A and removes the column FPPN with constant DC for the whole pixel array. The proposed MUIC proves that at least two interferers can be successfully rejected. The sensor chip is fabricated in a two-stack process with 65 nm for the top die and 65 nm for the bottom die.
- Published
- 2021
- Full Text
- View/download PDF
3. 1/2-inch 7.2MPixel CMOS Image Sensor with 2.25µm Pixels Using 4-Shared Pixel Structure for Pixel-Level Summation.
- Author
-
Young Chan Kim, Yi Tae Kim, Sung Ho Choi, Hae Kyung Kong, Sung In Hwang, Juhyun Ko, Bumsuk Kim, Tetsuo Asaba, Su Hun Lim, June Soo Hahn, Joon Hyuk Im, Tae Seok Oh, Duk Min Yi, Jong Moon Lee, Woon Phil Yang, Jung Chak Ahn, Eun Seung Jung, and Yong Hee Lee
- Published
- 2006
- Full Text
- View/download PDF
4. Effects of electrical stress on mid-gap interface trap density and capture cross sections in n-MOSFETs characterized by pulsed interface probing measurements.
- Author
-
Hyuck In Kwon, In Man Kang, Byung-Gook Park, Jong Duk Lee, Sang Sik Park, Jung Chak Ahn, and Yong Hee Lee
- Published
- 2004
- Full Text
- View/download PDF
5. A 2.6 e-rms Low-Random-Noise, 116.2 mW Low-Power 2-Mp Global Shutter CMOS Image Sensor with Pixel-Level ADC and In-Pixel Memory
- Author
-
Hyuk-soon Choi, Daehee Bae, Chang-Yong Um, Jae-Kyu Lee, Kyu-Pil Lee, Minkyung Kim, Doo-Won Kwon, C.S. Kim, Jung-Chak Ahn, J.I. Lee, Lee Gwi-Deok Ryan, Myunglae Chu, In-Gyu Baek, Hong-ki Kim, S.B. Kim, Heesung Shim, Seo Minwoong, Sung-jae Byun, Jonghyun Go, Hyoungsub Kim, Jiyoun Song, S.Y. Kim, Hyun Yong Jung, Jongyeon Lee, and Chang-Rok Moon
- Subjects
Very-large-scale integration ,Pixel ,business.industry ,Computer science ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Semiconductor memory ,Memory management ,Shutter ,Noise (video) ,Image sensor ,business ,Computer hardware ,Dram ,ComputingMethodologies_COMPUTERGRAPHICS - Abstract
This paper presents a low-random noise of 2.6 e-rms, a low-power of 116.2 mW at video rate, and a high-speed up to 960 fps 2-mega pixels global-shutter type CMOS image sensor (CIS) using an advanced DRAM technology. To achieve a high performance global-shutter CIS, we proposed a novel architecture for the digital pixel sensor which is a remarkable global-shutter operation CIS with a pixel-wise ADC and an in-pixel digital memory. Each pixel has two small-pitch Cu-to-Cu interconnectors for the wafer-level stacking, and the pitch of each unit pixel is less than 5 μm which is the world’s smallest pixel embedding both pixel-level ADC and 22-bit memories.
- Published
- 2021
- Full Text
- View/download PDF
6. Development of Advanced Inter-Color-Filter Grid on Sub-Micron-Pixel CMOS Image Sensor for Mobile Cameras with High Sensitivity and High Resolution
- Author
-
Jin-Hyung Kim, Chang-Rok Moon, Kijoong Yoon, Minsung Heo, Jaehak Lee, I.S. Park, Minhwan Jeon, Jung-Chak Ahn, Bum-Suk Kim, Inho Ro, Hyun-Chul Kim, Taek-Soo Jeon, Jong-uk Kim, Yun-Ki Lee, Kwang-Min Lee, Dongyeon Daniel Kang, Yoon Kisang, Hye Yeon Park, Jungho Park, In-sung Joe, Changkyu Lee, Eunyoung Jo, Dami Park, Chanho Park, JaeSung Hur, Hyoungsub Kim, Chong Kwang Chang, Minkwan Kim, Byun Kyung Rae, Seokjin Kwon, and Tae-Hoon Kim
- Subjects
Microlens ,Pixel ,Computer science ,business.industry ,Image quality ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Hardware_PERFORMANCEANDRELIABILITY ,Optics ,Color gel ,Hardware_INTEGRATEDCIRCUITS ,Color filter array ,Image sensor ,business ,Optical filter ,Image resolution - Abstract
Sub-micron pixels have been widely adopted in recent CMOS image sensors to implement high resolution cameras in small form factors, i.e. slim mobile-phones. Even with shrinking pixels, customers demand higher image quality, and the pixel performance must remain comparable to that of the previous generations. Conventionally, to suppress the optical crosstalk between pixels, a metal grid has been used as an isolation structure between adjacent color filters. However, as the pixel size continues to shrink to the sub-micron regime, an optical loss increases because the focal spot size of the pixel’s microlens does not downscale accordingly with the decreasing pixel size due to the diffraction limit: the light absorption inevitably occurs in the metal grid. For the first time, we have demonstrated a new lossless, dielectric-only grid scheme. The result shows 29 % increase in sensitivity and +1.2-dB enhancement in Y-SNR when compared to the previous hybrid metal-and-dielectric grid.
- Published
- 2021
- Full Text
- View/download PDF
7. All-Directional Dual Pixel Auto Focus Technology in CMOS Image Sensors
- Author
-
Jong-Won Choi, Hyun-cheol Kim, Kyungduck Lee, Eun Sub Shim, Junghyung Pyo, Taesub Jung, Jung-Chak Ahn, Seungki Baek, Bum-Suk Kim, Sungsoo Choi, Chanhee Lee, Kyoung-mok Son, Howoo Park, Jun-seok Yang, Duckhyun Chang, Se-Young Kim, Woo-Seok Choi, Jungbin Yun, and Kyung-Ho Lee
- Subjects
Autofocus ,Very-large-scale integration ,Pixel ,business.industry ,Image quality ,Computer science ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Good image ,law.invention ,Dual (category theory) ,CMOS ,law ,Computer vision ,Artificial intelligence ,Image sensor ,business ,ComputingMethodologies_COMPUTERGRAPHICS - Abstract
We developed a dual pixel with accurate and all-directional auto focus (AF) performance in CMOS image sensor (CIS). The optimized in-pixel deep trench isolation (DTI) provided accurate AF data and good image quality in the entire image area and over whole visible wavelength range. Furthermore, the horizontal-vertical (HV) dual pixel with the slanted in-pixel DTI enabled the acquisition of all-directional AF information by the conventional dual pixel readout method. These technologies were demonstrated in 1.4μm dual pixel and will be applied to the further shrunken pixels.
- Published
- 2021
- Full Text
- View/download PDF
8. 7.9 1/2.74-inch 32Mpixel-Prototype CMOS Image Sensor with 0.64μ m Unit Pixels Separated by Full-Depth Deep-Trench Isolation
- Author
-
Chongkwang Chang, In-sung Joe, Chang-Rok Moon, Jong-Eun Park, Jamie Lee, Kwan-Sik Cho, Soo-jin Hong, Hyun-Chul Kim, Im Dongmo, Chung-sam Jun, WooGwan Shim, Beom-Suk Lee, Ho-Kyu Kang, Sungbong Park, Jung-Chak Ahn, Donghyuk Park, Young-ki Hong, Howoo Park, Euiyeol Kim, Tae-Hoon Kim, Jingyun Kim, Dong-Hyun Kim, Taehee Kim, Ho-Chul Ji, Jae-Kyu Lee, Yi-tae Kim, Sung-In Kim, Taehun Lee, Jungho Cha, Changkyu Lee, Haeyong Park, and Jin-Young Kim
- Subjects
Pixel ,business.industry ,Amplifier ,010401 analytical chemistry ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,020206 networking & telecommunications ,02 engineering and technology ,01 natural sciences ,Dot pitch ,0104 chemical sciences ,Photodiode ,law.invention ,Signal-to-noise ratio ,Optics ,CMOS ,law ,0202 electrical engineering, electronic engineering, information engineering ,Image sensor ,business ,Sensitivity (electronics) - Abstract
For years, there has been a strong drive for sub-micron pixel development, in spite of reaching the visible light diffraction limit, because a smaller pixel pitch of CMOS image sensors (CISs) is inevitably required for ever-miniaturizing camera modules as mobile devices incorporate more cameras, few of which are dedicated to ultra-high-resolution zoomed images [1]. To that end, image sensor vendors have tried to find new ways to avoid reduction in sensitivity and more crosstalk in the sensor through pixel architecture change and/or fabrication process refinement [2] –[4]. For example, a $0.7 \mu m$ pixel sensor was demonstrated with acceptable photodiode (PD) full-well capacity (FWC) of $\gt 6$,000e- as well as signal-to-noise ratio (SNR) of $\sim32$ dB without optical/electrical crosstalk by employing state-of-the-art full-depth deep-trench isolations (FDTIs). [4] However, further scaling requires elaborate fabrication innovation and layout ideas. At the same time, meeting every aspect of pixel performance compared to the previous generation becomes even more difficult, e.g., with respect to dark or illuminated characteristics, fixed-pattern or temporal noises, etc. The latter, in particular, is associated with in-pixel source-follower (SF) amplifiers. Therefore, electrical performance of scaled in-pixel transistors cannot be overlooked. In this paper, a 32-megpixel (MP) CIS with $0.64 \mu m$ unit pixels is demonstrated with FDTI design. Innovations in terms of fabrication and design to achieve this performance with scaling are discussed.
- Published
- 2021
- Full Text
- View/download PDF
9. 7.1 A 4-tap 3.5 μm 1.2 Mpixel Indirect Time-of-Flight CMOS Image Sensor with Peak Current Mitigation and Multi-User Interference Cancellation
- Author
-
Myoungoh Ki, Yeomyung Kim, Kyoung-Min Koh, Sungyoung Seo, S.L. Cho, Hoyong Lee, Youngsun Oh, Seung Chul Shin, Sunjoo Hong, Jaeil An, Sooho Son, Young-Chan Kim, Bumsik Chung, Yong Hun Kwon, Myunghan Bae, Min-Sun Keel, Yi-tae Kim, Young-Gu Jin, Jung-Chak Ahn, Heeyoung Jo, Yongin Park, and Daeyun Kim
- Subjects
Bokeh ,Pixel ,Computer science ,020208 electrical & electronic engineering ,02 engineering and technology ,Sensor fusion ,01 natural sciences ,010309 optics ,Interference (communication) ,Single antenna interference cancellation ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,RGB color model ,Image sensor ,Image resolution - Abstract
The evolution of 3D depth-sensing technology enables various applications in mobile devices, from conventional photography enhancement (e.g., autofocus and bokeh effect) to new applications such as augmented reality and 3D scanning. Usually, 3D depth sensors operate with RGB color sensors for image fusion; the spatial resolution of depth sensors should be compatible to that of RGB sensors for better image fusion quality, which requires mega-pixel depth sensors. Among different depth-sensing techniques, only indirect time-of-flight (ToF) sensors can generate higher resolution depth maps with smaller system cost and power [1,2]. Recent indirect ToF sensors have achieved continuous improvements in depth performance [1–4]. However, one of the issues with indirect ToF sensors is peak current during exposure time [1,2]. To solve the issue, current spreading can be adopted by driving each column group in a different time point; the resulting column fixed-pattern phase noise (FPPN) is compensated by time-interleaving two opposite-directional delay chains [2]. However, this approach suffers from demodulation contrast (DC) degradation around the edge of the pixel array, by combining two different phase signals at the pixel level [2]. Another issue for indirect ToF sensors is multi-user interference [5]. When multiple ToF cameras with the same modulation frequency shine light onto the same object, the reflected light signals interfere each other, which may distort depth information. In this work, a mega-pixel indirect ToF sensor is reported with the solutions for the two issues: 1) peak current mitigation with minimal side-effect, and 2) multi-user interference cancellation.
- Published
- 2021
- Full Text
- View/download PDF
10. High-speed image sensor technologies.
- Author
-
Johannes Solhusvik, Jung-Chak Ahn, Jan T. Bosiers, Boyd Fowler, Makoto Ikeda, Shoji Kawahito, Jerry Lin, Dan McGrath, Katsu Nakamura, Jun Ohta, and Ramchan Woo
- Published
- 2010
- Full Text
- View/download PDF
11. A 2.8 μm Pixel for Time of Flight CMOS Image Sensor with 20 ke-Full-Well Capacity in a Tap and 36 % Quantum Efficiency at 940 nm Wavelength
- Author
-
Min-Sun Keel, Young-Chan Kim, Daeyun Kim, Youngsun Oh, Seung Chul Shin, Myunghan Bae, Sungyoung Seo, Sung-Ho Choi, Sunju Hong, S.L. Cho, Yeomyung Km, Young-Gu Jin, Taeun Hwang, Jung-Chak Ahn, Kyoung-Min Koh, Ho Woo Park, Yong Hun Kwon, Seok-Ha Lee, and Yi-tae Kim
- Subjects
Materials science ,Pixel ,business.industry ,Photodiode ,law.invention ,Time of flight ,law ,Shutter ,Optoelectronics ,Demodulation ,Quantum efficiency ,Image sensor ,business ,Sensitivity (electronics) - Abstract
A 2.8μm 4-tap global shutter pixel has been realized for a compact and high-resolution time of flight (ToF) CMOS image sensor. 20,000 e- of high full-well capacity (FWC) per a tap is obtained by employing a supplementary MOS capacitor. 36% of high quantum efficiency (QE) has been achieved by backside scattering technology (BST) and thick silicon process. In addition, demodulation contrast (DC) is improved to 86 % by additional deep photodiode doping process for static potential gradient in a photodiode.
- Published
- 2020
- Full Text
- View/download PDF
12. A 0.8 μm Nonacell for 108 Megapixels CMOS Image Sensor with FD-Shared Dual Conversion Gain and 18,000e- Full-Well Capacitance
- Author
-
Honghyun Jeon, Jesuk Lee, Youngsun Oh, Kwi-sung Yoo, Kyung-min Koh, Junho Seok, Donghyuk Park, M. Kim, Chang-Rok Moon, Jaejin Jung, Choi Hana, Won-Chul Choi, Jung-Chak Ahn, Yi-tae Kim, and Yujung Choi
- Subjects
Physics ,Pixel ,Signal-to-noise ratio (imaging) ,business.industry ,Color gel ,Optoelectronics ,Photonics ,Image sensor ,business ,Optical filter ,Image resolution ,Capacitance - Abstract
A 0.8μm-pitch 108 megapixels (Mp) ultrahigh-resolution CMOS image sensor has been demonstrated for mobile applications. The Nonacell was developed through a 3x3 color filter and 1x3 shared pixel structures which can be operated the 3 binning mode to achieve 12Mp resolution and improve low-illuminance signal-to-noise ratio (SNR) characteristic. The full-well capacity (FWC) of Nonacell was achieved up to 18,000e- using FD-shared dual conversion gain (CG) technology, and excellent high-illuminance SNR was demonstrated.
- Published
- 2020
- Full Text
- View/download PDF
13. White Spots Caused by Junction Leakage in Small Pixels of CMOS Image Sensor
- Author
-
Jeongjin Cho, Minji Jung, Taesub Jung, Masato Fujita, Kyungho Lee, Youjin Jung, Sungmin Ahn, Howoo Park, Dukseo Park, Younguk Song, Takashi Nagano, Jung Chak Ahn, and Yongin Park
- Published
- 2020
- Full Text
- View/download PDF
14. High performance aluminum plasmonic filters integrated into back-illuminated CMOS image sensor for spectrometric applications
- Author
-
HyeYeon Park, YunKi Lee, Bumsuk Kim, Boseong Kim, Jeongmin Bae, and Jung Chak Ahn
- Published
- 2020
- Full Text
- View/download PDF
15. 5.5 A 2.1e− Temporal Noise and −105dB Parasitic Light Sensitivity Backside-Illuminated 2.3µm-Pixel Voltage-Domain Global Shutter CMOS Image Sensor Using High-Capacity DRAM Capacitor Technology
- Author
-
Im Dongmo, Keun-Yeong Cho, Hyun-Chul Kim, Jingyun Kim, Dae-Hoon Kim, Chong Kwang Chang, Haemin Lim, Doo-Won Kwon, Jung-Chak Ahn, Ho-Kyu Kang, Heesung Shim, Seung Sik Kim, Ju-young Kim, Kim Taehyoung, Hyeongsun Hong, Han-jin Lim, In-Gyu Baek, Kyoung-Min Koh, Kyu-Pil Lee, Jungchan Kyoung, Joo-sung Moon, Jiyoon Kim, Jae-Kyu Lee, Seo Minwoong, Minho Jang, Jiyoun Song, Tae-Hoon Kim, and Jinyong Choi
- Subjects
Light sensitivity ,Pixel ,Computer science ,020208 electrical & electronic engineering ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,02 engineering and technology ,Photodiode ,law.invention ,law ,Shutter ,Distortion ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Image sensor ,Sensitivity (electronics) - Abstract
As the automotive and AI industries are expanding rapidly, global-shutter (GS) image sensors are playing a more significant role in the perception system. More specifically, GS image sensors are required in various fields involving IR, including the face-ID in mobile devices, the driver monitoring system in automotive applications, and factory automation. GS image sensors are necessary for these applications because they can capture freeze-frame images without motion distortion due to their advantage in the pixel operation method. The simultaneous pixel exposure and in-pixel storing capability allow GS image sensors to achieve high-quality imaging, while the sequential pixel exposure and readout of rolling-shutter (RS) image sensors results in image distortion known as the jello effect. For mobile and automotive applications, a small form factor while maintaining a low parasitic light sensitivity (PLS) and low noise is crucial. In conventional backside illuminated (BSI) charge-domain GS image sensors, a light-shielding structure over the storage area must be formed in order to suppress the influence of parasitic light during the readout operation. Therefore, the introduction of such a light-shielding structure reduces the effective photodiode area, which results in a loss of full-well capacity (FWC), light sensitivity of the sensor, and pixel scalability.
- Published
- 2020
- Full Text
- View/download PDF
16. 5.6 A 1/2.65in 44Mpixel CMOS Image Sensor with 0.7µm Pixels Fabricated in Advanced Full-Depth Deep-Trench Isolation Technology
- Author
-
Youngsun Oh, Taehun Lee, Dongsuk Cho, Haeyong Park, Joo Hyoung Kim, Han-jin Lim, Hyeongsun Hong, Soo-jin Hong, Changkyu Lee, Yi-tae Kim, Chongkwang Chang, Doo-Won Kwon, Seungjoo Nah, Jung-Chak Ahn, Jingyun Kim, Ho-Kyu Kang, Kyu-Pil Lee, Sangill Jung, Hyun-Chul Kim, In-sung Joe, Jae-Kyu Lee, and Jong-Eun Park
- Subjects
010302 applied physics ,Pixel ,Image quality ,Computer science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Photodiode ,law.invention ,CMOS ,law ,Optical format ,0103 physical sciences ,Computer vision ,Artificial intelligence ,Image sensor ,0210 nano-technology ,business ,Dark current ,Camera module - Abstract
As the smart mobile device market continues to grow and the number of cameras per device rapidly increases, demand for CMOS image sensors (CIS) also increases. Two major trends in mobile device cameras are: (1) adopting smaller pixels that enable greater pixel count at similar optical format, and (2) bigger pixels for higher image quality. To be more specific, front-facing cameras have a trend towards smaller pixels, while rear main cameras have a trend towards both smaller and bigger pixels. The optical format of front-facing cameras is especially limited due to existing bezel-less or border-less display designs, yet higher resolution still-shot and video (such as 4K UHD) recording is desired. To implement greater pixel count in a limited camera module size, scaling of pixel size is required. The main challenges are to maintain acceptable photodiode full-well capacity (FWC) and sensitivity, while suppressing optical crosstalk [1]. To completely eliminate both electrical and optical crosstalk, deep-trench isolation (DTI) has evolved from early BDTI (Back-side DTI) to current FDTI (Front-side DTI) technology, which is also called full-depth DTI. In this paper, a 44Mpixel CIS with 0.7µm pixels using full-depth DTI is demonstrated.
- Published
- 2020
- Full Text
- View/download PDF
17. A novel pixel design with hybrid type isolation scheme for low dark current in CMOS image sensor.
- Author
-
Sung Ho Choi, Yi Tae Kim, Min Seok Oh, Younghwan Park, Jeongjin Cho, Youngheup Jang, Hyungjun Han, Jongwon Choi, Howoo Park, Sangil Jung, Hoon Sang Oh, Jung Chak Ahn, Hiroshige Goto, Chi-Young Choi, and Yonghan Roh
- Published
- 2013
- Full Text
- View/download PDF
18. Calculation of interfacial energy for design of phase change materials
- Author
-
Mansoo Choi, Younsoo Kim, Jung-Chak Ahn, and Hongkyw Choi
- Subjects
Phase change ,Materials science ,Thermodynamics ,Surface energy - Published
- 2019
- Full Text
- View/download PDF
19. A single chip PPG sensor with enhanced IR sensitivity for low power and small size
- Author
-
Taesub Jung, Jungbin Yun, Hyunpil Noh, Doosik Seol, Seungki Jung, Dukseo Park, Jihun Kim, Kyung-Ho Lee, Long Yan, Junghyung Pyo, Takashi Nagano, Jungwook Lim, Jung-Chak Ahn, and Howoo Park
- Subjects
Single chip ,Materials science ,business.industry ,Optoelectronics ,Sensitivity (control systems) ,business ,Power (physics) - Published
- 2021
- Full Text
- View/download PDF
20. A Low-Voltage 0.7 µm Pixel with 6000 e- Full-Well Capacity for a Low-Power CMOS Image Sensor
- Author
-
Daehyung Lee, Sunghoon Oh, Dongyoung Jang, Mi Hye Kim, Hana Lee, Seonok Kim, SeungHan Hong, Jung-Chak Ahn, Nakyung Lee, Haewon Lee, Kwanyoung Oh, Yi-tae Kim, Donghyuk Park, Seungwon Cha, and Seung-Wook Lee
- Subjects
Materials science ,Pixel ,business.industry ,Optoelectronics ,Image sensor ,business ,Low voltage ,Power (physics) - Published
- 2021
- Full Text
- View/download PDF
21. Highly Manufacturable Low Power and High Performance 11LPP Platform Technology for Mobile and GPU Applications
- Author
-
Y.S. Bang, S. D. Kwon, Jung-Chak Ahn, Taejoong Song, Jaesuk Jung, J. H. Do, Y. Yasuda-Masuoka, Yun-Kyoung Lee, Byungha Choi, Hoonki Kim, Jong Shik Yoon, Y.D. Lim, and Kyu-Charn Park
- Subjects
010302 applied physics ,Reduction (complexity) ,Very-large-scale integration ,Optimal design ,Computer science ,Logic gate ,0103 physical sciences ,Process (computing) ,Electronic engineering ,Ring oscillator ,01 natural sciences ,Iddq testing ,Power (physics) - Abstract
11nm bulk FinFET process employing 3rd generation 14nm FEOL and 10nm BEOL process has been successfully demonstrated with updated design rules for optimal design kit support with 6.75T library. Compared to 14nm 1st generation FinFET, device performance has been improved by 25% in ring oscillator AC frequency at same Iddq or 42% power reduction is achieved. Adopting already mature 14nm and 10nm process technology, we can setup and demonstrate fast yield ramp.
- Published
- 2018
- Full Text
- View/download PDF
22. 10nm 2nd generation BEOL technology with optimized illumination and LELELELE
- Author
-
Dong-Won Kim, JiYeon Ku, Y. S. Bang, Junha Lee, S. M. Lim, Bum-Suk Kim, J. H. Do, S. D. Kwon, Jung-Chak Ahn, Jeong-Hyuk Choi, Y. C. Kim, H.-J. Cho, Sang-il Jung, Sung-Gun Kang, Taejoong Song, S. W. Paek, Won-Cheol Jeong, J. H. Jung, S. W. Ahn, Y. S. Yoon, and Jong Shik Yoon
- Subjects
Very-large-scale integration ,Random access memory ,Engineering ,Optics ,business.industry ,Scalability ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Optoelectronics ,Static random-access memory ,business ,Lithography - Abstract
10nm 2nd generation BEOL technology is described with an optimized illumination system and multi-patterning lithography. While the optimized illumination system offered a possibility to pattern reduced metal pitches in the preferred orientation, difficulties of T-T and T-S patterning still remained. It was overcome by increasing the number of available multi-patterning colors from 2 to 4. First-ever implementation of LELELELE with tight inter-color misalignment control increased scalability up to 17.1% and was demonstrated with SRAM 128Mb yield.
- Published
- 2017
- Full Text
- View/download PDF
23. Narrow-Band Organic Photodiodes for High-Resolution Imaging
- Author
-
Yong Wan Jin, Kyoung-won Na, Takkyun Ro, Sungyoung Yun, Chul-Joon Heo, Moon Gyu Han, Tadao Yagi, Sakurai Rie, Sang Yoon Lee, Seon-Jeong Lim, Dong-Seok Leem, Xavier Bulliard, Jung-Chak Ahn, Sang-chul Sul, Kyung-Bae Park, and Gae Hwang Lee
- Subjects
Materials science ,Pixel ,business.industry ,Color image ,Heterojunction ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Photodiode ,law.invention ,Optics ,CMOS ,law ,Color gel ,Optoelectronics ,General Materials Science ,Image sensor ,0210 nano-technology ,business ,Absorption (electromagnetic radiation) - Abstract
There are growing opportunities and demands for image sensors that produce higher-resolution images, even in low-light conditions. Increasing the light input areas through 3D architecture within the same pixel size can be an effective solution to address this issue. Organic photodiodes (OPDs) that possess wavelength selectivity can allow for advancements in this regard. Here, we report on novel push–pull D−π–A dyes specially designed for Gaussian-shaped, narrow-band absorption and the high photoelectric conversion. These p-type organic dyes work both as a color filter and as a source of photocurrents with linear and fast light responses, high sensitivity, and excellent stability, when combined with C60 to form bulk heterojunctions (BHJs). The effectiveness of the OPD composed of the active color filter was demonstrated by obtaining a full-color image using a camera that contained an organic/Si hybrid complementary metal-oxide-semiconductor (CMOS) color image sensor.
- Published
- 2016
24. Effects of electrical stress on mid-gap interface trap density and capture cross sections in n-MOSFETs characterized by pulsed interface probing measurements
- Author
-
In Man Kang, Sang Sik Park, Jong Duk Lee, Hyuck In Kwon, Jung Chak Ahn, Yong Hee Lee, and Byung-Gook Park
- Subjects
Stress effects ,Chemistry ,Interface (computing) ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Trap (computing) ,Stress (mechanics) ,Cross section (geometry) ,Trap density ,High field ,Electrical and Electronic Engineering ,Atomic physics ,Safety, Risk, Reliability and Quality ,Quantum tunnelling - Abstract
High field electrical stress effects on the mid-gap interface trap density (Dit0) and geometric mean capture cross sections (σ0) in n-MOSFETs have been studied using the pulsed interface probing method. The results show that the PIP technique is sensitive to changes in mid-gap trap cross section values caused by the Fowler–Nordheim (F–N) electrical stress. The decrease of mid-gap trap cross sections following the F–N tunneling injection is found. Our works also provide further insight into the influence of electrical stress on mid-gap interface trap generation in n-MOSFETs without the assumption of the constant capture cross section value during F–N stresses.
- Published
- 2004
- Full Text
- View/download PDF
25. 7.1 A 1/4-inch 8Mpixel CMOS image sensor with 3D backside-illuminated 1.12μm pixel with front-side deep-trench isolation and vertical transfer gate
- Author
-
Donghyuk Park, Chung Youngwoo, Tae Chan Kim, Gab-Soo Han, Young-Chan Kim, Yunkyung Kim, Duck-Hyung Lee, Jeonsook Lee, Eun-Kyung Park, Seungjoo Nah, Y. Jay Jung, Dongyoung Jang, Gyehun Choi, Hong-ki Kim, Jong-Eun Park, Yi-tae Kim, Taeheon Lee, Jung-Chak Ahn, Yooseung Lee, Yujung Choi, Kyung-Ho Lee, Joon-Hyuk Im, Bum-Suk Kim, Mi Hye Kim, Daniel K. J. Lee, Haeyong Park, Heesang Kown, Sangjun Choi, Ihara Hisanori, Goto Hiroshige, Byung-hyun Yim, Won-Je Park, Sung-Ho Choi, Youngsun Oh, Seung-Wook Lee, Taesub Jung, H.S. Jeong, Chi-Young Choi, and Gi-Doo Lee
- Subjects
Physics ,Pixel ,business.industry ,Ray ,Photodiode ,law.invention ,Wavelength ,Optics ,CMOS ,law ,Colors of noise ,Optoelectronics ,Image sensor ,business ,Performance metric - Abstract
According to the trend towards high-resolution CMOS image sensors, pixel sizes are continuously shrinking, towards and below 1.0μm, and sizes are now reaching a technological limit to meet required SNR performance [1-2]. SNR at low-light conditions, which is a key performance metric, is determined by the sensitivity and crosstalk in pixels. To improve sensitivity, pixel technology has migrated from frontside illumination (FSI) to backside illumiation (BSI) as pixel size shrinks down. In BSI technology, it is very difficult to further increase the sensitivity in a pixel of near-1.0μm size because there are no structural obstacles for incident light from micro-lens to photodiode. Therefore the only way to improve low-light SNR is to reduce crosstalk, which makes the non-diagonal elements of the color-correction matrix (CCM) close to zero and thus reduces color noise [3]. The best way to improve crosstalk is to introduce a complete physical isolation between neighboring pixels, e.g., using deep-trench isolation (DTI). So far, a few attempts using DTI have been made to suppress silicon crosstalk. A backside DTI in as small as 1.12μm-pixel, which is formed in the BSI process, is reported in [4], but it is just an intermediate step in the DTI-related technology because it cannot completely prevent silicon crosstalk, especially for long wavelengths of light. On the other hand, front-side DTIs for FSI pixels [5] and BSI pixels [6] are reported. In [5], however, DTI is present not only along the periphery of each pixel, but also invades into the pixel so that it is inefficient in terms of gathering incident light and providing sufficient amount of photodiode area. In [6], the pixel size is as large as 2.0μm and it is hard to scale down with this technology for near 1.0μm pitch because DTI width imposes a critical limit on the sufficient amount of photodiode area for full-well capacity. Thus, a new technological advance is necessary to realize the ideal front DTI in a small size pixel near 1.0μm.
- Published
- 2014
- Full Text
- View/download PDF
26. SNR metric and crosstalk in color image sensor of small size pixel
- Author
-
Goto Hiroshige, Sangjun Choi, H.S. Jeong, Kyung-Ho Lee, Chi-Young Choi, Duck-Hyung Lee, Bum-Suk Kim, Hong-ki Kim, and Jung-Chak Ahn
- Subjects
Physics ,Crosstalk ,Optics ,Pixel ,Color image ,business.industry ,Electronic engineering ,High resolution ,Image sensor ,business - Abstract
According to the high resolution trend in CMOS image sensor, pixel size is shrinking continuously towards and beyond 1.0 μm and reaching technical barrier to get the required performance. To achieve high SNR's in both low and high illumination, while high sensitivity and high full well capacity are essential but limited by pixel size of around 1.0 μm, reduction of crosstalk is more efficient way, which makes `effectively' sensitivity and full well capacity higher. In this paper, successful demonstration of ultralow crosstalk high SNR pixel will be presented.
- Published
- 2013
- Full Text
- View/download PDF
27. A novel pixel design with hybrid type isolation scheme for low dark current in CMOS image sensor
- Author
-
Hyung Jun Han, Sang Il Jung, Young Heub Jang, Ho Woo Park, Jeong Jin Cho, Yonghan Roh, Jung Chak Ahn, Min Seok Oh, Hiroshige Goto, Chi-Young Choi, Yi Tae Kim, Hoon Oh, Sung-Ho Choi, Jong-Won Choi, and Young-Hwan Park
- Subjects
Physics ,CMOS sensor ,Pixel ,business.industry ,Transistor ,Dot pitch ,Photodiode ,law.invention ,law ,Shallow trench isolation ,Optoelectronics ,Image sensor ,business ,Dark current - Abstract
New isolation scheme for CMOS image sensor pixel is proposed and its improved dark current performance is reported. It is well known that shallow trench isolation (STI) is one of major sources of dark current in imager pixel due to the existence of interfacial defects at STI/Si interface. On the account STI-free structure over the whole pixel area was previously reported for reducing dark current. As the size of pixel pitch is shrunk, however, it becomes increasingly difficult to isolate in-pixel transistors electrically without STI. In this work, we implemented hybrid type isolation scheme of removing STI around photodiode to suppress the dark current and remaining STI near transistors to guarantee the electrical isolation of transistors in pixel. It was successfully achieved that the dark current was significantly reduced by removing the STI around the photodiode together with normal operation of in-pixel transistors.
- Published
- 2013
- Full Text
- View/download PDF
28. Backside-illumination 14µm-pixel QVGA time-of-flight CMOS imager
- Author
-
Tae Chan Kim, Yong Jei Lee, Hae Kyung Kong, Han Soo Lee, Jung Chak Ahn, Moo Sup Lim, Seoung Hyun Kim, Dong-ki Min, Kwang Hyuk Bae, Min Seok Oh, Goto Hiroshige, Soo Bang Kim, Sung Kwan Kim, and Kyung-Il Kim
- Subjects
Physics ,Pixel ,business.industry ,Linearity ,law.invention ,Optics ,CMOS ,law ,Back-illuminated sensor ,Optoelectronics ,Quantum efficiency ,Image sensor ,business ,Intensity modulation ,Light-emitting diode - Abstract
This paper presents a BSI(backside-illumination) 14µm-pixel QVGA CMOS image sensor SOC(System On a Chip) measuring TOF(Time-Of-Flight) by 20MHz-intensity modulation of 850nm-wavelength light. The 34% of overall QE(Quantum Efficiency) at 850nm-wavelength is acquired by BSI structure and optimized micro-lens. The DE(Depth Error) less than 1.5% within 6m is achieved with imaging lens of f/1.2 and LED array of which the optical intensity is 0.6W/m2 at 1m-distance. Additionally, the depth linearity is measured as that the coefficient of determination is equal to 0.9999. In order to operate under background light illumination on a scene, dual CG(Conversion Gain) scheme is implemented in each pixel.
- Published
- 2012
- Full Text
- View/download PDF
29. Investigation on physical origins of endurance failures in PRAM
- Author
-
D.H. Jang, H.S. Jeong, Gitae Jeong, S. W. Nam, Dong-ho Ahn, Sanghyeon Jeon, Shinhyung Kim, Kyu-Man Hwang, Jung-Dal Choi, Jun-Soo Bae, Han-Ku Cho, Kwangho Park, Chan-Hoon Park, and Jung-Chak Ahn
- Subjects
Germanium compounds ,Materials science ,Electronic engineering ,Cell structure ,Antimony compounds ,Electromigration ,Reliability engineering - Abstract
Endurance failures are classified into three groups, all of which originate from the atomic transport of GST due to electromigration in molten phase. Based on the analysis, cell structure insusceptible to the atomic transport and for better cycling performance was proposed.
- Published
- 2012
- Full Text
- View/download PDF
30. Bulk planar 20nm high-k/metal gate CMOS technology platform for low power and high performance applications
- Author
-
D. K. Sohn, Y.K. Bae, Y.D. Lim, Yohan Kim, J.G. Hong, C. Ryou, Soon-yeon Park, C.G. Koh, Jae Gon Lee, Jung-Chak Ahn, S. Hyun, Byung-chan Lee, Sangjoo Lee, Yang-Soo Son, D.H. Cha, C.L. Cheng, Sung-dae Suk, S.W. Nam, H.-J. Cho, J.S. Yoon, Won-Jun Jang, M. Sadaaki, Ming Li, S.H. Hong, Wouns Yang, Sang-pil Sim, Dong-Won Kim, S. Choi, Jung-In Hong, Won-Cheol Jeong, B. U. Yoon, Hwa-Sung Rhee, Min-Sang Kim, Chilhee Chung, Daphnee Hui Lin Lee, Sang-Bom Kang, Kang-ill Seo, and Hee-Soo Kang
- Subjects
Planar ,Materials science ,Strain engineering ,CMOS ,business.industry ,Low-power electronics ,Electrical engineering ,Optoelectronics ,Short-channel effect ,Static random-access memory ,business ,High-κ dielectric ,Power (physics) - Abstract
A 20 nm logic device technology for low power and high performance application is presented with the smallest contacted-poly pitch (CPP) of minimal 80 nm ever reported in bulk Si planar device. We have achieved nFET and pFET drive currents of 770 µA/µm and 756 µA/µm respectively at 0.9 V and 1 nA/µm Ioff with the novel high-k/metal (HKMG) gate stack and advanced strain engineering. Short channel effect is successfully suppressed thanks to the optimized shallow junction, resulting in excellent DIBL and subthreshold swing below 120 mV and 90 mV/dec, respectively. In addition, full functionality of SRAM device with 20 nm technology architecture is confirmed.
- Published
- 2011
- Full Text
- View/download PDF
31. A 1/2.33-inch 14.6M 1.4μm-pixel backside-illuminated CMOS image sensor with floating diffusion boosting
- Author
-
Jong-Eun Park, Mickey Bahar, Kyung-Ho Lee, Shay Hamami, Youngheup Jang, Sangjoo Lee, Hiroshige Goto, Bum-Suk Kim, Yun-Tae Lee, Chang-Rok Moon, Duck-Hyung Lee, Jung-Chak Ahn, Taesub Jung, Young-Hwan Park, Yi-tae Kim, Uzi Hizi, and Hyungjun Han
- Subjects
Pixel ,Computer science ,business.industry ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Floating diffusion ,Capacitance ,Photodiode ,law.invention ,Crosstalk ,law ,Electronic engineering ,Image sensor ,business ,Computer hardware - Abstract
As pixel sizes continue to scale down, backside-illuminated (BSI) technology has been recently adopted as a solution to improve pixel SNR performance [1,2]. In addition, as the application of image sensors widens from digital still cameras to digital camcorders, high-resolution and high-speed operation are required. This paper presents 1/2.33-inch 14.6Mpixel CMOS image sensor employing a 1.4μm BSI pixel architecture with a floating-diffusion (FD) boosting scheme that enables high SNR and high speed read-out.
- Published
- 2011
- Full Text
- View/download PDF
32. Microstructural Characterization in Reliability Measurement of PRAM
- Author
-
Gitae Jeong, Dae-Hwan Kang, S.Y. Kim, Sanghun Jeon, Jun-Soo Bae, Kyu-Charn Park, Jung-Chak Ahn, Ki-Hyun Hwang, Chilhee Chung, and S.O. Park
- Subjects
Materials science ,Reliability (statistics) ,Reliability engineering ,Characterization (materials science) - Published
- 2010
- Full Text
- View/download PDF
33. Study on the graphene transfer process from graphitized SiC substrates
- Author
-
Chang-Hee Cho, S. Y. Lee, Sung Kwan Lim, Chang Goo Kang, Byoungho Lee, Jung-Chak Ahn, Hann-Ping Hwang, and Yongsu Lee
- Subjects
Materials science ,Graphene ,law ,Scientific method ,Nanotechnology ,law.invention - Published
- 2010
- Full Text
- View/download PDF
34. F4: High-speed image sensor technologies
- Author
-
Katsu Nakamura, Shoji Kawahito, Jerry Lin, Ramchan Woo, Dan McGrath, Johannes Solhusvik, Makoto Ikeda, Jung-Chak Ahn, Jun Ohta, Jan T. Bosiers, and Boyd Fowler
- Subjects
Engineering ,Pixel ,business.industry ,Machine vision ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Image processing ,Robotics ,High-definition video ,CMOS ,Electronic engineering ,Systems design ,Artificial intelligence ,Image sensor ,business - Abstract
High speed imaging is one of the fastest growing semiconductor markets. Growth is currently driven by consumer and industrial applications such as HD video, slow motion play-back, machine vision, 3D range capture, and robotics. This forum will present chip architectures, circuits, and system-level solutions used in CCD and CMOS image sensors for high speed cameras. Technology topics include photon detection devices, pixel circuits and array readout circuits, A/D converters, image processing and interface circuits presented by world leading experts from industry and academia. The potential applications of this technology will be demonstrated by ultra high speed capture solutions for 3D range imaging and robotics. For advanced applications, techniques for outputing high-throughput pixel data using analog or digital interfaces are described. The forum will conclude with a panel discussion where the attendees have the opportunity to ask questions and to share their views, and this all-day forum encourages open information exchange. The targeted participants are circuit designers and concept engineers working on image sensor and camera system design.
- Published
- 2010
- Full Text
- View/download PDF
35. Session 22 overview: Image Sensors
- Author
-
Shoji Kawahito and Jung-Chak Ahn
- Subjects
Engineering ,Pixel ,business.industry ,Electrical engineering ,Electronics ,Session (computer science) ,Image sensor ,business - Abstract
The imaging area is one of the most exciting and dynamic areas of the electronics industry. The pervasion of imaging into society was boosted significantly when it was included inside most mobile phones. Although this a huge driver of the industry, there are still new applications that continue to push the growth and innovation of the technology. This session highlights advances in Image Sensors in several areas, including readout circuitry, pixels, and applications.
- Published
- 2010
- Full Text
- View/download PDF
36. Advanced image sensor technology for pixel scaling down toward 1.0µm (Invited)
- Author
-
Duck-Hyung Lee, Taehun Lee, Yun-Ki Lee, Byung-Jun Park, Wook Lee, Jung-Chak Ahn, Yong Hee Lee, Chang-Rok Moon, Kyung-Ho Lee, Jin-hak Kim, Jaeryung Yoo, Moo-Sup Lim, Yong Jei Lee, Tae-Chan Kim, Hyunwoo Cho, Kyoung-Sik Moon, Junghoon Jung, Bum-Suk Kim, Sang-il Jung, Heemin Park, Yi-tae Kim, and June-Taeg Lee
- Subjects
Signal-to-noise ratio ,Pixel ,Computer science ,Electronic engineering ,Back-illuminated sensor ,Color filter array ,Depth of field ,Image sensor ,Optical filter ,Scaling - Abstract
As pixel size of image sensors shrinks down rapidly, we are reaching technical barrier to get the required low light performance. In this paper, recent advanced technologies such as backside illumination, new color filter array, low F-number with extended depth of field technologies, etc. are introduced to overcome such a barrier. It is shown that the integration of these advanced sensor technologies can make pixel size shrink down toward 1.0 mum with the required performance.
- Published
- 2008
- Full Text
- View/download PDF
37. 1/2-inch 7.2MPixel CMOS Image Sensor with 2.25/spl mu/m Pixels Using 4-Shared Pixel Structure for Pixel-Level Summation
- Author
-
Bum-Suk Kim, Tetsuo Asaba, Eun Seung Jung, Joon Hyuk Im, Ju Hyun Ko, Hae Kyung Kong, Woon Phil Yang, Jong Moon Lee, Tae Seok Oh, Jung Chak Ahn, Su Hun Lim, June Soo Hahn, Duk Min Yi, Sung-Ho Choi, Yong Hee Lee, Hwang Sung In, Young Chan Kim, and Yi Tae Kim
- Subjects
Physics ,Pixel ,business.industry ,Resolution (electron density) ,Process (computing) ,Structure (category theory) ,Noise (electronics) ,Optics ,Fill factor ,Computer vision ,Artificial intelligence ,Image sensor ,business ,Sensitivity (electronics) - Abstract
A 1/2-inch 7.2Mpixel CMOS image sensor with 2.25mum pixels employs a 4-shared pixel structure with pixel-level charge summation. It achieves a 57% fill factor, full well capacity of 14ke- with 41dB maximum SNR at full resolution, 8e-random noise, 15ke-/lux-s sensitivity, and a 3dB increment in SNR for pixel-level charge summation and sub-sampling operation. A 0.13mum Cu process is used
- Published
- 2006
- Full Text
- View/download PDF
38. Advanced Characterization of Nanoscale Bridge in Magnetic Tunnel Junction by 3-D EDS Tomography
- Author
-
S. W. Nam, Ki-Hyun Hwang, S. Ahn, Jung-Chak Ahn, Min-Ho Park, Doh C. Lee, E. Jung, Jun-Soo Bae, Sanghan Lee, S. Jeong, Gitae Jeong, Sang-Hoon Park, Jae-Young Choi, Kyu-Charn Park, and H. Cho
- Subjects
Tunnel magnetoresistance ,Materials science ,Nanotechnology ,Tomography ,Instrumentation ,Nanoscopic scale ,Bridge (interpersonal) ,Characterization (materials science) - Abstract
Extended abstract of a paper presented at Microscopy and Microanalysis 2013 in Indianapolis, Indiana, USA, August 4 – August 8, 2013.
- Published
- 2013
- Full Text
- View/download PDF
39. 50nm gate length logic technology with 9-layer Cu interconnects for 90nm node SoC applications
- Author
-
Kwang Pyuk Suh, Hee-Soo Kang, Kyong Taek Lee, H.J. Yu, Chang Bong Oh, Kyoung-Soo Kim, Jung-Chak Ahn, Won-sang Song, Y.G. Wee, K.S. Jung, M.K. Jung, Geum-Jong Bae, Nae-In Lee, Deok-Hyung Lee, T.S. Park, Moon-han Park, Sangjoo Lee, Y.G. Ko, S.H. Liu, Chang-Hoon Jeon, Young Wug Kim, and Byung Jun Oh
- Subjects
Materials science ,business.industry ,Transistor ,Copper interconnect ,Electrical engineering ,Silicon on insulator ,Capacitance ,PMOS logic ,law.invention ,Gate oxide ,law ,Low-power electronics ,Optoelectronics ,business ,NMOS logic - Abstract
A 90 nm generation logic technology with Cu/low-k interconnects is reported. 50 nm transistors are employed using gate oxide 1.3 nm in thickness and operating at 1.0 V. High speed transistors have drive currents of 870 /spl mu/A/pm and 360 /spl mu/A//spl mu/m for NMOS and PMOS respectively, while generic transistors have currents of 640 /spl mu/A//spl mu/m and 260 /spl mu/A//spl mu/m respectively. Low power process using high-k gate dielectrics and SOI process are also provided in this technology. The low-k SiOC material with 2.9 in the k value is used for 9 layers of dual damascene Cu/low-k interconnects. The effective k (k/sub eff/) value of interconnect is about 3.6. Fully working 6-T SRAM cell with an area of 1.1 /spl mu/m/sup 2/ and SNM value of 330 mV is obtained. For MIM capacitor, voltage coefficient of capacitance is less than 20 ppm/V.
- Published
- 2003
- Full Text
- View/download PDF
40. Micro villus patterning (MVP) technology for 256 Mb DRAM stack cell
- Author
-
D. Chin, Chang Gyu Hwang, Huichul Shin, K. Kim, W.M. Park, Sangwoo Shim, C.S. Choi, J. H. Shin, Sutae Kim, Ohyun Kwon, Young-Kwan Park, Jung-Chak Ahn, and S. W. Nam
- Subjects
Materials science ,business.industry ,Electrical engineering ,Oxide ,Capacitance ,chemistry.chemical_compound ,Stack (abstract data type) ,chemistry ,Etching (microfabrication) ,Electrode ,Optoelectronics ,Undercut ,Dry etching ,business ,Layer (electronics) - Abstract
Micro villus patterning (MVP) technology which delivers the maximized cell capacitance is discussed. The key feature of the MVP technology is the formation of a hemispherical grain (HSG) archipelago and its transference to the underlayered oxide. The HSG archipelago pattern is produced on the oxide layer, and, by using that pattern as an etch mask, the oxide archipelago pattern is again transferred to the storage poly for the formation of villus bars by anisotropic dry etch. After the etching process, the oxide etch mask pattern is stripped away by using oxide wet etchant, so that additional Fin undercut structure is achieved underneath the main body. The main body of the storage electrode can be formed by single deposition and etch process, so that the storage electrode structure is strong enough to maintain its physical stability in spite of the complication of its shape. A 256-Mb DRAM-cell size of 0.6 approximately 0.8 mu m/sup 2/ having more than 30 fF of cell capacitance with a stack structure, has been realized. >
- Published
- 2003
- Full Text
- View/download PDF
41. 10 Gb/s high power electro-absorption modulated laser monolithically integrated with a semiconductor optical amplifier for transmission over 80 km
- Author
-
Suyoun Lee, Dongyoung Jang, Taeik Kim, Byung-Kwon Kang, Jung-hye Kim, Jung-Chak Ahn, Soo-In Cho, Dae-Young Jeon, and Yun-Il Lee
- Subjects
Optical amplifier ,Distributed feedback laser ,Materials science ,business.industry ,Laser ,Semiconductor laser theory ,law.invention ,Optics ,Transmission (telecommunications) ,law ,Optoelectronics ,Absorption (electromagnetic radiation) ,Optical filter ,business ,Phase modulation - Abstract
High average output power over +3 dBm was obtained in 10 Gb/s electro-absorption modulated laser for transmission over 80 km by amplifying the modulated signals through monolithically integrated semiconductor optical amplifier, for the first time to our knowledge.
- Published
- 2003
- Full Text
- View/download PDF
42. Comparative Analysis of TEM and Atom Probe Tomography on GeSbTe Compositions in Phase Change Random Access Memory
- Author
-
S. W. Nam, Jun-Soo Bae, H.S. Jeong, Gitae Jeong, Ki-Hyun Hwang, S.Y. Kim, Sanghyeon Jeon, Kyu-Charn Park, D.H. Jang, Chan-Hoon Park, and Jung-Chak Ahn
- Subjects
Phase change ,chemistry.chemical_compound ,Random access memory ,Materials science ,chemistry ,law ,Nanotechnology ,Atom probe ,GeSbTe ,Instrumentation ,law.invention - Abstract
Extended abstract of a paper presented at Microscopy and Microanalysis 2012 in Phoenix, Arizona, USA, July 29 – August 2, 2012.
- Published
- 2012
- Full Text
- View/download PDF
43. 1/2-inch 7.2MPixel CMOS Image Sensor with 2.25/spl mu/m Pixels Using 4-Shared Pixel Structure for Pixel-Level Summation.
- Author
-
Young Chan Kim, Yi Tae Kim, Sung Ho Choi, Hae Kyung Kong, Sung In Hwang, Ju Hyun Ko, Bum Suk Kim, Tetsuo Asaba, Su Hun Lim, June Soo Hahn, Joon Hyuk Im, Tae Seok Oh, Duk Min Yi, Jong Moon Lee, Woon Phil Yang, Jung Chak Ahn, Eun Seung Jung, and Yong Hee Lee
- Published
- 2006
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.