117 results on '"G. Imbert"'
Search Results
2. Tuberculose après allogreffe de moelle: étude rétrospective multicentrique nationale sur 10 ans.
- Author
-
de Trémiolles, G. Imbert, primary, Rouzaud, C., additional, Ranque, B., additional, Nguyen, S., additional, Brissot, E., additional, Redjoul, R., additional, Suarez, F., additional, Lanternier, F., additional, Lortholary, O., additional, and Lafont, E., additional
- Published
- 2023
- Full Text
- View/download PDF
3. Tuberculose après allogreffe de moelle: étude rétrospective multicentrique nationale sur 10 ans
- Author
-
G. Imbert de Trémiolles, C. Rouzaud, B. Ranque, S. Nguyen, E. Brissot, R. Redjoul, F. Suarez, F. Lanternier, O. Lortholary, and E. Lafont
- Published
- 2023
- Full Text
- View/download PDF
4. Modification of porous ultra-low K dielectric by electron-beam curing.
- Author
-
Cyril Guedj, G. Imbert, E. Martinez, Christophe Licitra, Névine Rochat, and V. Arnal
- Published
- 2007
- Full Text
- View/download PDF
5. Effect of accelerated hydrothermal aging on the durability of Si-based dielectric thin films
- Author
-
S. Rubeck, V. Cartailler, V. Coutellier, G. Imbert, S. Gallois-Garreignot, S. Meille, P. Steyer, and J. Chevalier
- Subjects
Electrical and Electronic Engineering ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2022
- Full Text
- View/download PDF
6. Moisture diffusion in plasma-enhanced chemical vapor deposition dielectrics characterized with three techniques under clean room conditions
- Author
-
Du Vo-Thanh, Catherine Chaton, Névine Rochat, Geneviève Duchamp, G. Imbert, Vivien Cartailler, Hélène Fremont, Daniel Benoit, Laboratoire de l'intégration, du matériau au système (IMS), Université Sciences et Technologies - Bordeaux 1-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Université Sciences et Technologies - Bordeaux 1 (UB)-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), STMicroelectronics [Crolles] (ST-CROLLES), and Ghent University Hospital, Ghent, Belgium
- Subjects
Materials science ,Analytical chemistry ,02 engineering and technology ,Dielectric ,Chemical vapor deposition ,01 natural sciences ,[SPI]Engineering Sciences [physics] ,Plasma-enhanced chemical vapor deposition ,0103 physical sciences ,Materials Chemistry ,Relative humidity ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Diffusion (business) ,Absorption (electromagnetic radiation) ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,[PHYS]Physics [physics] ,Moisture ,Metals and Alloys ,Humidity ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,13. Climate action ,0210 nano-technology - Abstract
Absorption of moisture by thin dielectric materials alters their properties and can cause several reliability issues. Even at standard room temperature and low humidity level, some dielectric materials are sensitive to moisture. In this study, moisture diffusion in two plasma-enhanced chemical vapor deposition (PECVD) films is investigated with three measurement methods to determine diffusion coefficients and saturated moisture concentrations: mass measurements, bending radius of curvature measurements and infrared spectroscopy. The two PECVD silicon dioxides are deposited at 200 °C and 400 °C. They were exposed to moisture in clean room environment (21 °C and 40% relative humidity) for about 800 h. The present results confirm that mass measurements, bending radius of curvature measurements and infrared spectroscopy can be used to monitor thin dielectric films in these environmental conditions. They lead to similar values for the diffusion coefficient. These values are in the range of [1.5–4.2] × 10−15 cm² s−1 for the 200 °C film and [2.3–3.6] × 10−15 cm² s−1 for the 400 °C one. Saturated moisture concentrations confirm that the two dielectrics are sensitive to moisture even at 21 °C, 40% relative humidity. Besides, the results show that standard fickean behavior does not provide the best fit to model water diffusion for some dielectric films. A dual stage model that appears to be more adapted is finally introduced.
- Published
- 2020
- Full Text
- View/download PDF
7. Moisture Diffusion in Dense SiO2 and Ultra Low k Integrated Stacks
- Author
-
G. Imbert, Catherine Chaton, Marie-Astrid Pin, Jean Baptiste Moulard, D. Ney, Veronique Guyader, P. Lamontagne, Geneviève Duchamp, Hélène Fremont, Daniel Benoit, Vivien Cartailler, Mustapha Rafik, and Marc Juhel
- Subjects
010302 applied physics ,Materials science ,Moisture ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,Seal (mechanical) ,Secondary ion mass spectrometry ,Back end of line ,Time of flight ,0103 physical sciences ,Relative humidity ,Composite material ,0210 nano-technology - Abstract
The impact of moisture diffusion on two types of fully integrated stacks was investigated. One of them with dense SiO 2 layers and the other with ultra low k (ULK), both of which are predominantly used in BEOL (Back End of Line) as inter layer dielectric films. For half of the samples of each dielectric, their surrounding seal ring was intentionally damaged. Storage of these samples was then performed either at ambient or at 85°C/85% relative humidity (RH) for five months to study the impact of moisture. Capacitance measurements and current voltages curves were used to assess moisture effect. For intact seal ring samples, no variations are observed for both dielectrics, which confirms the moisture protection offered by surrounding seal ring. For damaged seal ring structures, there is no variation neither after five months at ambient nor at 85°C/85% RH for dense SiO 2 structures. However, very significant variations are observed on capacitance and breakdown values of ULK structures. The effect of baking on these samples was then investigated. Baking at 125°C or 250°C does not give full recovery of capacitance in the integrated stacks. Moreover, its effect is not permanent. Finally, to assess moisture diffusion path, time of flight — secondary ion mass spectrometry (Tof-SIMS) analysis was performed. Moisture seems to diffuse at ULK/SiCN interfaces.
- Published
- 2019
- Full Text
- View/download PDF
8. Dielectrics stability for intermediate BEOL in 3D sequential integration
- Author
-
Claire Fenouillet-Beranger, Maud Vinet, Virginie Beugin, Névine Rochat, Vincent Jousseaume, Perrine Batude, Daniel Benoit, Christophe Licitra, F. Deprat, N. Rambal, G. Imbert, Véronique Caubet-Hilloutou, and Chloé Guerin
- Subjects
Materials science ,Nanotechnology ,02 engineering and technology ,Dielectric ,01 natural sciences ,law.invention ,Barrier layer ,Stack (abstract data type) ,law ,Ellipsometry ,0103 physical sciences ,Thermal stability ,Electrical and Electronic Engineering ,Fourier transform infrared spectroscopy ,010302 applied physics ,Interconnection ,business.industry ,Transistor ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Optoelectronics ,0210 nano-technology ,business - Abstract
3D sequential integration, such as CoolCubeź, allows to stack vertically layer of devices. Levels of interconnection, also called intermediate Back-End-Of-Line, are needed between successive layers of transistors to avoid routing congestion. Thus, thermal stability of the dielectrics must be studied in order to fulfil the CoolCubeź requirement: at least to be stable up to 500°C during 2h. Consequently, the stability of several barrier layers and oxide based materials has been studied through optical characterizations (ellipsometry, Fourier Transform InfraRed spectroscopy and ellipsometric-porosimetry). SiCO (k=4.5), in replacement of standard SiCNH (k=5.6) material as barrier layer seems very promising. Regarding the inter-layer dielectric stability, the state-of-the-art porous SiOCH (k=2.5) stays suitable for a thermal budget of 500°C, 2h. Display Omitted
- Published
- 2017
- Full Text
- View/download PDF
9. Warpage reduction using dielectric layers stress tuning: From analytical model to 3D integration of large die on ceramic substrate
- Author
-
G. Imbert, Laetitia Castagne, Gilles Simon, F. Casset, Pascal Chevalier, N. Chevrier, B. Kholti, L. Toffanin, Sébastien Petitdidier, F. Bailly, Jp. Pierrel, D. Mermin, R. Franiatte, and C. Ferrandon
- Subjects
Stress (mechanics) ,Substrate (building) ,Yield (engineering) ,Materials science ,Silicon ,chemistry ,Stacking ,Electronic engineering ,chemistry.chemical_element ,Wafer ,Dielectric ,Composite material ,Die (integrated circuit) - Abstract
A mechanical study of silicon interposer bow reduction, from wafer level manufacturing to large die stacking including analytical modeling, is presented in this paper. Indeed, understanding and reducing the warpage of a dissymmetrical substrate is fundamental for assembly yield and interconnects reliability. The target here is a bow less than 50 µm for a 650 mm2 Si-interposer.
- Published
- 2016
- Full Text
- View/download PDF
10. 32nm node BEOL integration with an extreme low-k porous SiOCH dielectric k=2.3
- Author
-
D. Galpin, Alexis Farcy, E. Richard, P. Brun, G. Imbert, Jonathan Pradelles, Vincent Jousseaume, M. Assous, B. Icard, Daniel Barbier, C. Jayet, C. Monget, Sonarith Chhun, Michel Haond, Sylvain Maitrejean, Vincent Arnal, J. Guillan, S. Manakli, K. Hamioud, and Aziz Zenasni
- Subjects
Materials science ,business.industry ,Copper interconnect ,Low-k dielectric ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Chemical-mechanical planarization ,Trench ,Forensic engineering ,Optoelectronics ,Node (circuits) ,Metallizing ,Electrical and Electronic Engineering ,Porosity ,business - Abstract
A 32nm node BEOL integration scheme is presented with 100nm metal pitch at local and intermediate levels and 50nm via size through a M1-Via1-M2 via chain demonstrator. To meet the 32nm RC performance specifications, extreme low-k (ELK) porous SiOCH k=2.3 is introduced at line and via level using a Trench First Hard Mask dual damascene architecture. Parametrical results show functional via chains and good line resistance. Integration validation of ELK porous SiOCH k=2.3 is investigated using a multi-level metallization test vehicle in a 45nm mature generation.
- Published
- 2010
- Full Text
- View/download PDF
11. Dense SiOC cap for damage-less ultra low k integration with direct CMP in C45 architecture and beyond
- Author
-
Laurent-Luc Chapelon, K. Hamioud, Joaquim Torres, P. Brun, M. Vilmay, H. Chaabouni, G. Imbert, Alexis Farcy, M. Mellier, Laboratoire des technologies de la microélectronique (LTM), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS), and Clot, Marielle
- Subjects
Materials science ,business.industry ,Copper interconnect ,Low-k dielectric ,02 engineering and technology ,Dielectric ,RC time constant ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,0104 chemical sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Chemical-mechanical planarization ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS ,Delay time - Abstract
Deposited on a porous a-SiOC:H intermetal dielectric (IMD), a dense a-SiOC:H cap was successfully integrated in a C45 dual damascene architecture. The paper demonstrates that, stopping the CMP with around 10nm of the cap left, the IMD integrity is preserved. As a consequence, a 3.5% decrease in RC delay, a 7.3% decrease in IMD integrated k-value and an increase of the time to failure by a 100 factor are reached relative to direct CMP. The cap also allowed to achieve straight lines and to improve the lines height uniformity as if CMP stopped on the IMD.
- Published
- 2008
- Full Text
- View/download PDF
12. Material and electrical characterization of TMS-based silicidation of the Cu-dielectric barrier interface for electromigration improvement of 65nm interconnects
- Author
-
E. Sabouret, L. Plantier, Aurelie Humbert, D. Delille, M. Sardo, K. Junker, S. Jullian, V. Girault, G. Imbert, and Y. Le Friec
- Subjects
Copper silicide ,business.industry ,Analytical chemistry ,Dielectric ,Chemical vapor deposition ,Condensed Matter Physics ,Silane ,Electromigration ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Plasma-enhanced chemical vapor deposition ,Silicide ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Leakage (electronics) - Abstract
The formation of a copper silicide interfacial layer by surface reaction in a plasma enhanced chemical vapour deposition (PECVD) system has been studied. Tri-methyl silane (TMS, SiH(CH"3)"3) has been employed as the Si source as an alternative to more conventional silane (SiH"4) approach. TMS precursor has been chosen due to improved control of Si penetration into the copper [S. Chhun, L.G. Gosset, N. Casanova, J.F. Guillaumond, P. Dumont-Girard, X. Fedespiel, R. Pantel, V. Arnal, L. Arnaud, J. Torres, Microelectronic Engineering 76 (2004) 106-112]. AFM, SIMS, light scattering, FT-IR spectroscopy and dielectric constant measurements were performed on various stacks to evaluate CuSiN formation. Resistance, leakage, and electromigration (EM) reliability characterization were performed on test structures based on 65nm design rules. Nitridation step in silicidation process was shown to have positive impact on EM reliability, minimizing the line resistance increase.
- Published
- 2006
- Full Text
- View/download PDF
13. Mechanical and Electrical Analysis of Strained Liner Effect in 35 nm Fully Depleted Silicon-on-Insulator Devices with Ultra Thin Silicon Channels
- Author
-
A. Vandooren, Francois Leverd, F. Pionnier, Sorin Cristoloveanu, Vincent Fiori, Roland Pantel, M. Broekaart, G. Imbert, Stephane Denorme, L. Gabette, Thomas Skotnicki, C. Chaton, Frederic Boeuf, F. Vigilant, S. Jullian, T. Kormann, C. Gallon, Claire Fenouillet-Beranger, Pascal Gouraud, C. Laviron, H. Bernard, Nicolas Loubet, Philippe Garnier, A. Tarnowka, Laboratoire des technologies de la microélectronique (LTM), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS), and Clot, Marielle
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,Silicon ,General Physics and Astronomy ,chemistry.chemical_element ,Silicon on insulator ,02 engineering and technology ,01 natural sciences ,law.invention ,PMOS logic ,Stress (mechanics) ,law ,0103 physical sciences ,Ultimate tensile strength ,ComputingMilieux_MISCELLANEOUS ,NMOS logic ,010302 applied physics ,business.industry ,Transistor ,General Engineering ,021001 nanoscience & nanotechnology ,chemistry ,Optoelectronics ,Field-effect transistor ,0210 nano-technology ,business - Abstract
We study the effects of a strained contact etch stop layer (CESL) on fully depleted (FD) silicon-on-insulator (SOI) devices with ultra thin silicon channels. As expected from extensive simulation analysis, the electrical results demonstrate that in spite of the raised source/drain architecture, the stress is effectively transferred from the liner into the underlying channel. Using a tensile liner for the n-type metal–oxide–semiconductor field effect transistor (nMOS) and a compressive liner for the p-type metal–oxide–semiconductor field effect transistor (pMOS), transistor performance enhancements of 10% and 17%, respectively, were obtained. Moreover, with a tensile (/compressive) liner, tensile (/compressive) edge effects become dominant for short devices whereas the stress becomes less tensile (/compressive) for longer devices. Indeed, the balance between these two contributions and the strain level in the channel are highly dependent on geometrical parameters (W, Lgate).
- Published
- 2006
- Full Text
- View/download PDF
14. Contribution of Systematic Serological Testing in Diagnosis of Infective Endocarditis
- Author
-
Clarisse Rovery, Didier Raoult, Emmanuelle Bernit, Frédéric Collart, M. Khan, E. Bothello, Jean-Paul Casalta, Hervé Richet, Frédérique Gouriet, Gilbert Habib, G. Imbert, and S. Branger
- Subjects
Microbiology (medical) ,Fastidious organism ,Bartonella ,medicine.medical_specialty ,Serology ,Rheumatoid Factor ,Internal medicine ,Humans ,Medicine ,Rheumatoid factor ,Endocarditis ,Serologic Tests ,Bacteria ,biology ,business.industry ,Bacteriology ,Bacterial Infections ,Endocarditis, Bacterial ,medicine.disease ,Coxiella burnetii ,biology.organism_classification ,Antibodies, Bacterial ,Heart Valves ,Culture Media ,Surgery ,Blood ,Infective endocarditis ,Concomitant ,business - Abstract
Despite progress with diagnostic criteria, the type and timing of laboratory tests used to diagnose infective endocarditis (IE) have not been standardized. This is especially true with serological testing. Patients with suspected IE were evaluated by a standard diagnostic protocol. This protocol mandated an evaluation of the patients according to the modified Duke criteria and used a battery of laboratory investigations, including three sets of blood cultures and systematic serological testing for Coxiella burnetii , Bartonella spp., Aspergillus spp., Legionella pneumophila , and rheumatoid factor. In addition, cardiac valvular materials obtained at surgery were subjected to a comprehensive diagnostic evaluation, including PCR aimed at documenting the presence of fastidious organisms. The study included 1,998 suspected cases of IE seen over a 9-year period from April 1994 to December 2004 in Marseilles, France. They were evaluated prospectively. A total of 427 (21.4%) patients were diagnosed as having definite endocarditis. Possible endocarditis was diagnosed in 261 (13%) cases. The etiologic diagnosis was established in 397 (93%) cases by blood cultures, serological tests, and examination of the materials obtained from cardiac valves, respectively, in 348 (81.5%), 34 (8%), and 15 (3.5%) definite cases of IE. Concomitant infection with streptococci and C. burnetii was seen in two cases. The results of serological and rheumatoid factor evaluation reclassified 38 (8.9%) possible cases of IE as definite cases. Systematic serological testing improved the performance of the modified Duke criteria and was instrumental in establishing the etiologic diagnosis in 8% (34/427) cases of IE.
- Published
- 2005
- Full Text
- View/download PDF
15. A Proprioception Based Regulation Model to Estimate the Trunk Muscle Forces
- Author
-
W. Skalli, Vincent Pomero, G. Imbert, and François Lavaste
- Subjects
medicine.medical_specialty ,Computer science ,Posture ,Biomedical Engineering ,Bioengineering ,Models, Biological ,Feedback ,Physical medicine and rehabilitation ,Abdomen ,medicine ,Redundancy (engineering) ,Humans ,Computer Simulation ,Diagnosis, Computer-Assisted ,Muscle, Skeletal ,Postural Balance ,Muscle force ,Back ,Lumbar Vertebrae ,Proprioception ,Electromyography ,Muscle activation ,General Medicine ,Trunk ,Computer Science Applications ,Human-Computer Interaction ,Physical therapy ,Biomechanical model ,Stress, Mechanical ,Trunk muscle ,Muscle Contraction - Abstract
Evaluation of loads acting on the spine requires the knowledge of the muscular forces acting on it, but muscles redundancy necessitates developing a muscle forces attribution strategy. Optimisation, EMG, or hybrid models allow evaluating muscle force patterns, yielding a unique muscular arrangement or/and requiring EMG data collection. This paper presents a regulation model of the trunk muscles based on a proprioception hypothesis, which searches to avoid the spinal joint overloading. The model is also compared to other existing models for evaluation. Compared to an optimisation model, the proposed alternative muscle pattern yielded a significant spine postero-anterior shear decrease. Compared to a model based on combination of optimisation criteria, present model better fits muscle activation observed using EMG (38% improvement). Such results suggest that the proposed model, based on regulation of all spinal components, may be more relevant from a physiologic point of view.
- Published
- 2004
- Full Text
- View/download PDF
16. Causative organisms of infective endocarditis according to host status
- Author
-
Jean-Paul Casalta, Jean-Louis Bonnet, Didier Raoult, Thierry Messana, A. Boulamery, G. Imbert, Gilbert Habib, Ethan Rubinstein, and K. Barrau
- Subjects
Adult ,Male ,Microbiology (medical) ,medicine.medical_specialty ,Prosthesis-Related Infections ,Adolescent ,Epidemiology ,Heart Valve Diseases ,medicine.disease_cause ,Microbiology ,Age Distribution ,Coxiella ,host status ,Streptococcal Infections ,Mitral valve ,Prevalence ,medicine ,Humans ,Endocarditis ,Prospective Studies ,Sex Distribution ,Aged ,Aged, 80 and over ,biology ,infective endocarditis ,business.industry ,Endocarditis, Bacterial ,General Medicine ,Middle Aged ,Staphylococcal Infections ,Coxiella burnetii ,biology.organism_classification ,medicine.disease ,Streptococcus bovis ,Gram-Positive Cocci ,medicine.anatomical_structure ,Infectious Diseases ,Staphylococcus aureus ,Aortic Valve ,Heart Valve Prosthesis ,Infective endocarditis ,Etiology ,Mitral Valve ,Female ,business - Abstract
A prospective study of infective endocarditis (IE) was conducted between 1994 and 2000 in Marseilles, France, and included 170 definite cases diagnosed with the use of modified Duke criteria. Classification of IE based on the aetiological agent was related to epidemiological characteristics, including age, gender and the nature of the injured valve. Enterococci and Streptococcus bovis were identified more frequently in older subjects (p 0.02), and S. bovis was also associated with mitral valve infection (p 0.03). Streptococcus spp. were found to be associated with native valves (p < 10−3), whereas coagulase-negative staphylococci and Coxiella burnetii were associated with intracardiac prosthetic material (p
- Published
- 2004
- Full Text
- View/download PDF
17. Comprehensive failure analysis of leakage faults in bipolar transistors
- Author
-
P Schwindenhammer, G Imbert, B Domengès, and H Murray
- Subjects
Ion beam ,business.industry ,Chemistry ,Bipolar junction transistor ,Analytical chemistry ,Condensed Matter Physics ,Microstructure ,Poole–Frenkel effect ,Electronic, Optical and Magnetic Materials ,law.invention ,Optical microscope ,law ,Transmission electron microscopy ,Microscopy ,Materials Chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Leakage (electronics) - Abstract
The origin of a leakage current in several failed NPN bipolar transistors has been identified by complementary advanced failure analysis techniques. After precise localization of the failing area by photon emission microscopy and optical beam induced resistance change investigations, a focus ion beam technique was used to prepare thin lamellae adequate for transmission electron microscopy (TEM) study. Characterization of the related microstructure was performed by TEM and energy-dispersive spectrometry nanobeam analyses. It was identified as Ti-W containing trickle-like residue located at the surface of the spacers. Current?voltage measurements could be related to such structure defects and the involved conduction mechanism was identified as the Poole?Frenkel effect.
- Published
- 2003
- Full Text
- View/download PDF
18. A 55 nm triple gate oxide 9 metal layers SiGe BiCMOS technology featuring 320 GHz fT / 370 GHz fMAX HBT and high-Q millimeter-wave passives
- Author
-
S. Joblot, C. De-Buttet, Sébastien Petitdidier, F. Abbate, C. Jenny, Didier Celi, B. Ramadout, Thomas Quemerais, Sebastien Haendler, Laurent Favennec, Daniel Gloria, O. Robin, C. Richard, E. Canderle, B. Borot, K. Haxaire, N. Derrier, Remi Beneyton, Julien Rosa, G. Ribes, O. Saxod, P. Brun, Y. Campidelli, Pascal Chevalier, Cedric Durand, A. Montagne, Francois Leverd, G. Imbert, Olivier Gourhant, M. Guillermet, E. Gourvest, L. Berthier, Clement Tavernier, J. Cossalter, M. Buczko, C. Deglise, Mickael Gros-Jean, C. Julien, Jean-Damien Chapon, K. Courouble, D. Ney, G. Avenier, Patrick Maury, Y. Carminati, R. Bianchini, and F. Foussadier
- Subjects
Bit cell ,Materials science ,business.industry ,Heterojunction bipolar transistor ,Electrical engineering ,Ring oscillator ,BiCMOS ,Inductor ,law.invention ,Capacitor ,CMOS ,law ,Extremely high frequency ,Optoelectronics ,business - Abstract
This paper presents the first 55 nm SiGe BiCMOS technology developed on a 300 mm wafer line in STMicroelectronics. The technology features Low Power (LP) and General Purpose (GP) CMOS devices and 0.45 µm2 6T-SRAM bit cell. High Speed (HS) HBT exhibits 320 GHz f T and 370 GHz f MAX associated with a CML ring oscillator gate delay τ D of 2.34 ps. Transmission lines, capacitors, high-Q varactors and inductors dedicated to millimeter-wave applications are also available.
- Published
- 2014
- Full Text
- View/download PDF
19. The origins of hydrocarbons trapped in the lake of berre sediments
- Author
-
G. Imbert, M. Guiliano, Pierre Doumenq, D. Munoz, Y. Le Dréau, Frédéric Jacquot, and G. Mille
- Subjects
Pollution ,chemistry.chemical_classification ,Environmental Engineering ,Health, Toxicology and Mutagenesis ,media_common.quotation_subject ,Public Health, Environmental and Occupational Health ,Biogeochemistry ,Sediment ,General Medicine ,General Chemistry ,Sedimentation ,chemistry.chemical_compound ,Hydrocarbon ,chemistry ,Abundance (ecology) ,Environmental chemistry ,Environmental Chemistry ,Environmental science ,Petroleum ,Organic matter ,media_common - Abstract
For decades, the Lake of Berre (France) - a heavily contaminated ecosystem - has received hudge amounts of hydrocarbons from refineries, petrochemical plants, transportation systems, in addition to those originating from marine and terrestrial biogenic inputs. The purpose of this work was to identify the sources of hydrocarbons trapped in the sediment, using characterization indices, based on n-alkane and isoprenoid abundance ratios obtained from GC/MS analysis. The upper sediment was sampled from 5 widely-separated stations. In each station, the subsurface (0–10 cm) and the underlaying (10–20 cm) sediment were separately analyzed by gravimetry, GC/FID and GC/MS. Total hydrocarbon contents ranged from 63 to more than 6100 mg.kg−1 1 of dry sediment. Qualitative analysis of the saturated hydrocarbon fraction demonstrated the presence of weathered petroleum residues of mixed geochemical origins, as evidenced by the presence of different cyclic alkanes known as biomarkers. Total polyaromatic hydrocarbon contents ranged from 29 to more than 2300 mg.kg−1 1 of dry sediment, associated with molecular indexes suggesting the occurence of combustion processes prior to sedimentation. Petrogenic, pyrolitic, and biogenic sources were discriminated, with reference to previous surveys of the same area or of adjacent areas. With regard to biogenic hydrocarbons, terrestrial sources seem generally predominate, except in the Northwestern area where n-alkane and isoprenoid abundance ratios are more characteristical of organic matter from a marine origin.
- Published
- 1999
- Full Text
- View/download PDF
20. Bacillus licheniformis Septicemia in a Very-Low-Birth-Weight Neonate: A Case Report
- Author
-
Pierre Lagier, Renaud Vialet, Laurent Thomachot, A. Lépine, G. Imbert, Fabrice Michel, Claude Martin, Claire Nicaise, and J.-N. Di Marco
- Subjects
Male ,Microbiology (medical) ,Catheterization, Central Venous ,Cefotaxime ,medicine.medical_treatment ,Bacillus ,Infant, Premature, Diseases ,Microbial Sensitivity Tests ,Biology ,Microbiology ,Sepsis ,Intensive care ,medicine ,Humans ,Bacillus licheniformis ,Gram-Positive Bacterial Infections ,Bacillus (shape) ,fungi ,Infant, Newborn ,General Medicine ,biology.organism_classification ,medicine.disease ,Respiration, Artificial ,Anti-Bacterial Agents ,Low birth weight ,C-Reactive Protein ,Infectious Diseases ,Infant, Extremely Low Birth Weight ,Bacteremia ,Vancomycin ,medicine.symptom ,Ventilator Weaning ,Infant, Premature ,Central venous catheter ,medicine.drug - Abstract
Nosocomial infections in neonatal intensive care units are a preoccupying issue. Bacillus sp. can be pathogenic in immuno-compromised hosts, including premature infants. Central catheters and mechanical ventilation are potential sources of infection. We report for the first time a case of Bacillus licheniformis bacteremia in a premature infant. Recovery necessitated treatment with vancomycin and cefotaxime in combination with removal of the central catheter.
- Published
- 2008
- Full Text
- View/download PDF
21. Demonstration of TFHM scalability to 32 nm node BEOL interconnect and extendibility to ELK k ≤ 2.3 dielectric material
- Author
-
Sylvain Maitrejean, J. Guillan, Vincent Jousseaume, Jonathan Pradelles, D. Galpin, Aziz Zenasni, Michel Haond, Olivier Gourhant, S. Manakli, Sonarith Chhun, K. Hamioud, M. Vilmay, E. Richard, G. Imbert, P. Brun, C. Monget, D. Barbier, B. Icard, Alexis Farcy, Vincent Arnal, C. Jayet, and M. Assous
- Subjects
Interconnection ,Materials science ,CMOS ,business.industry ,Electrical engineering ,Copper interconnect ,Optoelectronics ,Node (circuits) ,Dielectric ,business ,Lithography ,Capacitance ,Electronic mail - Abstract
A 32 nm node BEOL demonstrator using Trench First Hard Mask (TFHM) architecture is realized. The dual damascene process is performed with ELK dielectric at line and via level and with an adapted metallization in order to meet ITRS specifications. ELK k=2.3 & k=2.2 are studied in a TFHM architecture in order to prove its extendibility to ELK dielectric materials.
- Published
- 2009
- Full Text
- View/download PDF
22. Key Process steps for high reliable SiOCH low-k dielectrics for the sub 45nm technology nodes
- Author
-
Sébastien Petitdidier, J.-M. Chaix, M. Mellier, J. Guillan, Y. Le Friec, David Roy, G. Imbert, M. Vilmay, F. Volpi, P. Vannier, Lucile Arnaud, O. Robin, C. Besset, C. Monget, S. Chhun, and D. Galpin
- Subjects
Interconnection ,Materials science ,Dielectric reliability ,Robustness (computer science) ,Chemical-mechanical planarization ,Electronic engineering ,Polishing ,Dielectric ,Capacitance ,Engineering physics - Abstract
The introduction of SiOCH low-k dielectrics in copper interconnects associated to the reduction of the critical dimensions in advanced technology nodes is becoming a major reliability concern. The interconnect realization requires a consequent number of critical process steps [1]. Since porous low-k dielectrics are used as Inter-Metal Dielectric (IMD) each process step can be a source of degradation for the dielectric. This paper describes critical process steps influencing the low-k reliability. All the processes affecting the dielectric's interfaces are also evidenced to degrade the low-k interconnect robustness. Some process examples as the direct chemical and mechanical polishing (CMP), the slurry chemistry and the TaN/Ta barrier etching are details in this paper. Moreover, some process options are given to strongly improve low-k dielectric reliability without degradation of its electrical performances.
- Published
- 2009
- Full Text
- View/download PDF
23. Reliability failure modes in interconnects for the 45 nm technology node and beyond
- Author
-
M. Vilmay, P. Waltz, E. Petitprez, G. Imbert, E. Richard, S. Chhun, D. Galpin, L. Doyen, F. Terrier, C. Monget, Y. Le Friec, C. Besset, David Roy, and Lucile Arnaud
- Subjects
Interconnection ,Materials science ,CMOS ,Robustness (computer science) ,Process integration ,Electronic engineering ,Dielectric ,Electromigration ,Scaling - Abstract
This work analyses electromigration and dielectric lifetimes of 45 nm node CMOS interconnects. Reliability mechanisms and failure modes are discussed considering, on one hand, the interconnect materials and processes steps, and on the other hand scaling issues. Robust reliability performance meeting the required products target is actually obtained with process integration schemes used for the 45 nm node thanks to fine optimizations of Cu barriers, Cu filling, and ULK surface quality.
- Published
- 2009
- Full Text
- View/download PDF
24. Role of specific antibodies in Coxiella burnetii infection of macrophages
- Author
-
Didier Raoult, G. Imbert, J. L. Mege, Eric Ghigo, Benoit Desnues, Unité de Recherche sur les Maladies Infectieuses Tropicales Emergentes (URMITE), Unité de Recherche sur les Maladies Infectieuses et Tropicales Emergentes (URMITE), Institut de Recherche pour le Développement (IRD)-Aix Marseille Université (AMU)-Institut National de la Santé et de la Recherche Médicale (INSERM)-IFR48, INSB-INSB-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche pour le Développement (IRD)-Aix Marseille Université (AMU)-Institut National de la Santé et de la Recherche Médicale (INSERM)-IFR48, INSB-INSB-Centre National de la Recherche Scientifique (CNRS), Desnues, Benoit, Institut des sciences biologiques (INSB-CNRS)-Institut des sciences biologiques (INSB-CNRS)-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche pour le Développement (IRD)-Aix Marseille Université (AMU)-Institut National de la Santé et de la Recherche Médicale (INSERM)-IFR48, and Institut des sciences biologiques (INSB-CNRS)-Institut des sciences biologiques (INSB-CNRS)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Microbiology (medical) ,Context (language use) ,Q fever ,Vacuole ,Microbiology ,03 medical and health sciences ,Immune system ,Microscopy, Electron, Transmission ,Phagocytosis ,[SDV.MHEP.MI]Life Sciences [q-bio]/Human health and pathology/Infectious diseases ,medicine ,Endocarditis ,Humans ,ComputingMilieux_MISCELLANEOUS ,030304 developmental biology ,Phagosome ,0303 health sciences ,biology ,030306 microbiology ,Macrophages ,General Medicine ,Coxiella burnetii ,biology.organism_classification ,medicine.disease ,Virology ,Antibodies, Bacterial ,3. Good health ,Infectious Diseases ,Immunoglobulin G ,Vacuoles ,[SDV.MHEP.MI] Life Sciences [q-bio]/Human health and pathology/Infectious diseases ,biology.protein ,Antibody - Abstract
Q fever is a zoonosis with worldwide distribution caused by Coxiella burnetii, an obligate intracellular bacterium. Q fever is commonly divided into acute and chronic forms. Acute Q fever manifestations consist of self-limited febrile illness, pneumonia and granulomatous hepatitis as well as neurological disorders and miscellaneous manifestations [1]. The manifestations of chronic Q fever are endocarditis and, less frequently, vascular aneurysm and prosthesis infections. These usually occur in patients with previous vascular or valvular disease or in a context of immunosuppression. The chronic disease is characterised by impaired immune response, defective microbicidal activity of monocytes, and production of antibodies (Abs) directed against C. burnetii. Here, we examined the role of specific antibodies in the infection of human macrophages by C. burnetii. Immunoglobulins G (IgG) from three patients with chronic Q fever and three healthy controls were purified using protein A-sepharose columns (Pharmacia, Uppsala, Sweden). Their ability to opsonise C. burnetii was investigated by flow cytometry. About 85% of phase I organisms were opsonised by specific Abs (1 ⁄ 200 dilution) whereas irrelevant IgG were unable to opsonise bacteria. The intracellular fate of opsonised organisms within human monocyte-derived macrophages (MDM) was studied by real-time PCR as previously described [2]. Opsonised organisms intensively replicated within MDM whereas unopsonised organisms survived but poorly replicated: after 9 days, the bacterial load was 10 times higher in MDM infected with opsonised bacteria (98 400 vs. 10 200 C. burnetii DNA copies for 10 MDM). In addition, electron microscopy revealed that the replication of opsonised organisms was associated with the formation of spacious parasitophore vacuoles containing large amounts of bacteria (Fig. 1a), which were absent in MDM infected with unopsonised bacteria (Fig. 1b). At day 9 post-infection, about 30% of MDM infected with opsonised bacteria presented large vacuoles (Fig. 1c) whereas only 3% of MDM displayed large vacuoles when infected with unopsonised bacteria (Fig. 1c). Note that the large parasitophore vacuoles presented an electron-dense membrane and seemed unable to fuse with lysosomes (Fig. 1a). This prompted us to characterise the nature of the large vacuoles by scanning fluorescence confocal microscopy using cathepsin D as a marker of phagolysosomes [3]. It has been previously shown that C. burnetii prevents the phagosome conversion and survives in monocytes ⁄macrophages within late phagosomes, in contrast to avirulent and inactivated organisms, which are eliminated within phagolysosomes [3,4]. At day 9 post-infection, opsonised organisms did not co-localise with cathepsin D (Fig. 1d), demonstrating that the replicative large vacuoles are not phagolysosomes. Classically, it is believed that Abs provide protection against pathogens through different mechanisms, including opsonisation. We demonstrated here that the high levels of specific Abs found in chronic Q fever, which are likely to be related to the host inability to cure the infection, favoured C. burnetii replication within human MDM. In patients with C. burnetii endocarditis, the major manifestation of chronic Q fever, C. burnetii was found in infected cardiac valves as voluminous intracytoplasmic masses within infected mononuclear cells, and not extracellularly as for other aetiological agents of infective endocarditis [1]. We can hypothesise that C. burnetii-specific Abs produced during chronic Q fever are responsible for C. burnetii infection of cardiac valves. We also demonstrated that C. burnetii prevented the phagosome conversion because large parasitophore vacuoles containing organisms did not express cathepsin D, a specific Corresponding author and reprint requests: E. Ghigo, URMITE, Faculte de Medecine, 27 Bld. Jean Moulin, 13385 Marseille Cedex 05, France E-mail: eric.ghigo@univmed.fr
- Published
- 2009
25. 300 mm Multi Level Air Gap Integration for Edge Interconnect Technologies and Specific High Performance Applications
- Author
-
Joaquim Torres, R. Gras, C. Euvrard, F. Gaillard, Laurent Pain, D. Bouchu, G. Imbert, S. Olivier, Alexis Farcy, P. H. Haumesser, P. Brun, Stephane Moreau, B. Icard, Maurice Rivoire, E. Petitprez, L. Clement, C. Borowiak, J. Bustos, M. Mellier, Gérard Passemard, Thierry Chevolleau, Vincent Arnal, Jean-Christophe Le-Denmat, Science et Ingénierie des Matériaux et Procédés (SIMaP), Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut de Chimie du CNRS (INC)-Institut National Polytechnique de Grenoble (INPG), Laboratoire des technologies de la microélectronique (LTM), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Interconnection ,Materials science ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Hafnium ,chemistry ,0103 physical sciences ,Scalability ,Plasma chemistry ,Electronic engineering ,Electrical performance ,Wafer ,0210 nano-technology ,Air gap (plumbing) ,ComputingMilieux_MISCELLANEOUS - Abstract
Air gaps were successfully integrated in a multi level metallization interconnect stack using 65 nm design rules on 300 mm wafers. The proposed approach allows a low cost integration of localized air cavities using a sacrificial material to solve via misalignment issues. Air gap integration is shown to be mechanically robust and presents excellent electrical results with high gains on RC delays. In addition, air gaps structures tested in electromigration pass the targeted lifetime criterion. This easily scalable approach can be seriously considered either in aggressive interconnect geometries or in specific applications of existing technologies for which high electrical performance is locally required.
- Published
- 2008
- Full Text
- View/download PDF
26. Robust integration of an ULK SiOCH dielectric (k=2.3) for high performance 32nm node BEOL
- Author
-
P. Brun, G. Imbert, Nicolas Jourdan, Vincent Jousseaume, Y. Le-Friec, K. Hamioud, A. Zenasni, M. Mellier, Vincent Delaye, L.L. Chapelon, Laurent Favennec, M. Vilmay, Didier Louis, H. Chaabouni, T. Vanypre, Joaquim Torres, P. Maury, F. Volpi, Alexis Farcy, Gérard Passemard, M. Aimadeddine, V. Amal, S. Jullian, M. Assous, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire des technologies de la microélectronique (LTM), Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), and Clot, Marielle
- Subjects
010302 applied physics ,Materials science ,business.industry ,Electrical engineering ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,CMOS ,0103 physical sciences ,Trench ,Optoelectronics ,Barrier integrity ,0210 nano-technology ,business ,Porous medium ,ComputingMilieux_MISCELLANEOUS ,Hard mask ,Leakage (electronics) - Abstract
An Ultra Low-K (ULK) SiOCH porous dielectric with k=2.3 targeted for the 32 nm node is integrated at local and intermediate levels with the Trench First Hard Mask architecture currently implemented for the 65/45 nm nodes. Physical and electrical characterizations after integration show good barrier integrity, substantial gain in capacitance as well as good via chain functionality. The material exhibits similar interline leakage and breakdown field than the k=2.5 reference dielectric meeting specifications of the 32 nm node.
- Published
- 2007
- Full Text
- View/download PDF
27. Manufacturability and Speed Performance Demonstration of Porous ULK (k=2.5) for a 45nm CMOS Platform
- Author
-
G. Huang, R. Delsol, M. Rasco, N. Cave, A. Perera, L. Marinier, Michel Haond, A. Guvenilir, A. Lagha, M. Mellier, C. Monget, C. Cregut, G. Imbert, E. Richard, M. Guillermet, Paulo Ferreira, Sébastien Petitdidier, S. Downey, Robert Fox, M. Zaleski, W. Besling, E. Oilier, P. Brun, and Lucile Broussous
- Subjects
Materials science ,CMOS ,Resist ,Optical proximity correction ,business.industry ,Etching (microfabrication) ,Electronic engineering ,Optoelectronics ,Dielectric ,business ,Lithography ,Numerical aperture ,Design for manufacturability - Abstract
A full ULK (ultra low-k) integration using TFHM (trench first hard mask) architecture (Hinsiger et al., 2004) is demonstrated in a high density CMOS 45 nm device. 13 nm-pitch metal features have been resolved using a 193 nm immersion hyper-NA (numerical aperture) scanner and an optimized OPC (optical proximity correction) model. RC performance and yield results are presented for a fully-integrated 45 nm ULK backend. An overall speed performance enhancement of >10% has been confirmed within a microprocessor application at the 65 nm technology node when replacing Low-k dielectric (k=2.9) with ULK (k=2.5) material.
- Published
- 2007
- Full Text
- View/download PDF
28. Integration of gas cluster process for copper interconnects reliability improvement and process impact evaluation on BEOL dielectric materials
- Author
-
M. Hopstaken, D. Fossati, J. Guillan, Thierry Chevolleau, V. Girault, L.G. Gosset, Joaquim Torres, R. Bouyssou, Y. Le Friec, R. Gras, G. Imbert, E. Petitprez, S. Jullian, Laboratoire des technologies de la microélectronique (LTM), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Interconnection ,Materials science ,Low-k dielectric ,02 engineering and technology ,Integrated circuit ,Dielectric ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electromigration ,Engineering physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Reliability (semiconductor) ,Stack (abstract data type) ,law ,Chemical-mechanical planarization ,0103 physical sciences ,Electrical and Electronic Engineering ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
A new process, based on the interaction between Si and N rich gas cluster and post Cu CMP features surface, was integrated in a multi-level Cu interconnect stack using 65 nm design rules. Using the same integration scheme as stand-alone SiCN dielectric capping, excellent electrical properties were achieved when the process was implemented with a USG layer on top of a porous Ultra-Low K. Furthermore, 3x electromigration time to failure improvement was evidenced, making the approach very promising to address EM performance requirement for the most advanced technology nodes. Moreover, contrary to PE-CVD CuSiN approach, the process does not depend on Cu crystallographic orientation. Finally, when the implantation process is performed on un-capped ULK, a deep N contamination occurs. Therefore, the process must be optimized to preserve the interest of this technique for the most aggressive architectures.
- Published
- 2007
- Full Text
- View/download PDF
29. Charging and Aging Effects in Porous ULK Dielectrics
- Author
-
G. Imbert, Cyril Guedj, and Eugénie Martinez
- Subjects
Materials science ,business.industry ,Electric potential energy ,chemistry.chemical_element ,Dielectric ,Auger ,Stress (mechanics) ,chemistry ,CMOS ,Degradation (geology) ,Optoelectronics ,business ,Porosity ,Carbon - Abstract
The down-scaling of CMOS interconnects increases dielectric reliability challenges. Porous ULK materials used in advanced interconnects may suffer from charge trapping and detrimental aging during bias-thermal stress experiments. We demonstrate that a threshold between charging and aging domains may occur for an injected electrical energy of 0.1 MJ/cm3. Electron injection in the dielectric induces hydrogen radical formation first. For more damaging current stressing, in-situ Auger experiments demonstrate that the degradation is mostly due to the modification around carbon bonds.
- Published
- 2007
- Full Text
- View/download PDF
30. Integration and characterization of gas cluster processing for copper interconnects electromigration improvement
- Author
-
E. Petitprez, R. Gras, Y. Le Friec, M. Tabat, Joaquim Torres, M. Hopstaken, G. Imbert, J. Guillan, J. Hautala, S. Sherman, L.G. Gosset, V. Girault, Thierry Chevolleau, J. Bienacel, S. Jullian, Clot, Marielle, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire des technologies de la microélectronique (LTM), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Interconnection ,Diffusion barrier ,business.industry ,Chemistry ,Low-k dielectric ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electromigration ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Reliability (semiconductor) ,Stack (abstract data type) ,0103 physical sciences ,Optoelectronics ,Process optimization ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS - Abstract
Basic physical properties as well as electrical and reliability performance of Infusion(TM) processing were evaluated. This approach, proposed as an alternative to CuSiN and electrolessly deposited Co-alloys, was shown to join the benefits of these two techniques without well-known associated drawbacks. Indeed, it is a uniform process, acting as an efficient Cu diffusion barrier, which does not require specific integration development. Different processes were introduced in a multi-level interconnect stack using ULK/USG stack as IMD, showing excellent electrical properties, and three times electromigration time-to-failure improvement with respect to standard SiCN barrier. However, it was shown that existing process conditions lead to some introduction of N atoms into ULK dielectric, showing there is still some room for process optimization in architectures using un-capped ULKs, to keep the benefits of EM improvement and aggressive effective dielectric constant.
- Published
- 2007
31. Comparison Of Several Metrology Techniques For In-line Process Monitoring Of Porous SiOCH
- Author
-
D. Fossati, C. Beitia, L. Yu, L. Plantier, G. Imbert, F. Volpi, J.-C. Royer, David G. Seiler, Alain C. Diebold, Robert McDonald, C. Michael Garner, Dan Herr, Rajinder P. Khosla, and Erik M. Secula
- Subjects
Materials science ,business.industry ,Ellipsometry ,Process (computing) ,Optoelectronics ,Nanotechnology ,Dielectric ,Sensitivity (control systems) ,Porosity ,business ,Porous medium ,Line (electrical engineering) ,Metrology - Abstract
As porous SiOCH is a widely used inter‐metal dielectric for 65 nm nodes and below, the control of its elaboration process by in‐line monitoring is necessary to guarantee successful integration of the material. In this paper, the sensitivities of several non‐destructive metrology techniques towards the film elaboration process drifts are investigated. It appears that the two steps of the process should be monitored separately and that corona charge method is the most sensitive technique of the review for this application.
- Published
- 2007
- Full Text
- View/download PDF
32. Hydrogen accumulation as the origin of delamination at the a-carbon/SiO2 interface
- Author
-
R. Cubitt, J. Segura-Ruiz, A. Ponard, P. Gutfreund, and G. Imbert
- Subjects
X-ray reflectivity ,Crystallography ,Amorphous carbon ,Hydrogen ,chemistry ,Neutron diffraction ,Delamination ,General Physics and Astronomy ,chemistry.chemical_element ,Composite material ,Reflectometry ,Carbon ,Layer (electronics) - Abstract
This work reports the characterization of the interface amorphous carbon (a-C)/SiO2 by neutron and X-ray reflectometry. Neutrons have shown the existence of an intermediate layer (IL) between the a-C and the SiO2 layers that was not evidenced by XRR. This IL has been associated with the accumulation of H inside the SiO2 layer near the interface with the a-C. The characteristics of this layer, in particular, its H-concentration and thickness, seem to be correlated with the weakness of this interface. A plot of the molecular weight as a function of the mass density for the SiO2 and the IL layers graphically demonstrates the risk of delamination of each sample. The combination of NR and XRR is shown to be a powerful technique in the characterization of layers and interfaces used in the micro/nanoelectronics industry. The same approach can be extended to other interfaces of interest involving hydrogen.
- Published
- 2015
- Full Text
- View/download PDF
33. Mechanical and electrical analysis of a strained liner effect in 35nm FDSOI devices with ultra-thin silicon channels
- Author
-
C., Gallon, C., Fenouillet-Beranger, S., Denorme, F., Boeuf, V., Fiori, N., Loubet, T., Kormann, M., Broekaart, P., Gouraud, F., Leverd, G., Imbert, C., Chaton, C., Laviron, L., Gabette, F., Vigilanti, P., Garnier, H., Bernard, A., Tarnowka, A., Vandooren, R., Pantel, F., Pionnier, S., Jullian, S., Cr, Skotnicki, T., STMicroelectronics, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Freescale Semiconducteurs, Philips France Semiconducteurs, Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF), and Domenget, Chahla
- Subjects
[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS - Abstract
International audience; We study the effects of a strained contact etch stop layer (CESL) on fully depleted (FD) silicon-on-insulator (SOI) devices with ultra thin silicon channels. As expected from extensive simulation analysis, the electrical results demonstrate that in spite of the raised source/drain architecture, the stress is effectively transferred from the liner into the underlying channel. Using a tensile liner for the n-type metal–oxide–semiconductor field effect transistor (nMOS) and a compressive liner for the p-type metal–oxide–semiconductor field effect transistor (pMOS), transistor performance enhancements of 10% and 17%, respectively, were obtained. Moreover, with a tensile (/compressive) liner, tensile (/compressive) edge effects become dominant for short devices whereas the stress becomes less tensile (/compressive) for longer devices. Indeed, the balance between these two contributions and the strain level in the channel are highly dependent on geometrical parameters (W, Lgate).
- Published
- 2006
- Full Text
- View/download PDF
34. High performance k=2.5 ULK backend solution using an improved TFHM architecture, extendible to the 45nm technology node
- Author
-
C. Maurice, E. Sabouret, H. Bono, Alexis Farcy, M. Guillermet, E. Richard, M. Zaleski, D. Reber, Lucile Broussous, Cindy K. Goldberg, J. Mueller, V. Girault, T. Berger, R. Gonella, E. Ollier, V. Plantier, Vincent Arnal, M. Mellier, P. Brun, Aurelie Humbert, B.G. Sharma, John C. Flake, C. Monget, P. Vannier, Robert Fox, J.P. Jacquemin, A. Schussler, G. Imbert, W. F. A. Besling, O. Hinsinger, and S. Maubert
- Subjects
business.industry ,Computer science ,Embedded system ,Reliability (computer networking) ,Electronic engineering ,Integration architecture ,Node (circuits) ,Architecture ,business ,Hard mask ,Parametric statistics - Abstract
An enhanced trench first hard mask (TFHM) backend integration architecture has been developed to facilitate straightforward ultra low-k (ULK) material insertion and to enable rapid yield learning at the 65nm technology node. Parametric, yield, reliability, and RC performance data are presented for the fully-integrated, improved TFHM 300mm ULK backend
- Published
- 2006
- Full Text
- View/download PDF
35. Central nervous system drug development: an integrative biomarker approach toward individualized medicine
- Author
-
Jeanne Kehren, A. Kinnunen, G. Imbert, E. Marrer, B. Gomez-Mancilla, M. E. Schmidt, M. Bergström, and R. Hillebrand
- Subjects
Drug ,medicine.medical_specialty ,media_common.quotation_subject ,Drug Evaluation, Preclinical ,Gene Expression ,Context (language use) ,Review Article ,Pharmacology ,Central Nervous System Diseases ,Health care ,Medicine ,Animals ,Humans ,Technology, Pharmaceutical ,Pharmacology (medical) ,Intensive care medicine ,media_common ,business.industry ,Gene Expression Profiling ,Translational medicine ,Clinical trial ,Drug development ,Pharmacogenetics ,Drug Design ,Personalized medicine ,business ,Biomarkers ,Central Nervous System Agents - Abstract
Summary: Drug development for CNS disorders faces the same formidable hurdles as other therapeutic areas: escalating development costs; novel drug targets with unproven therapeutic potential; and health care systems and regulatory agencies demanding more compelling demonstrations of the value of new drug products. Extensive clinical testing remains the core of registration of new compounds; however, traditional clinical trial methods are falling short in overcoming these development hurdles. The most common CNS disorders targeted for drug treatment are chronic, slowly vitiating processes manifested by highly subjective and context dependent signs and symptoms. With the exception of a few rare familial degenerative disorders, they have ill-defined or undefined pathophysiology. Samples selected for treatment trials using clinical criteria are inevitably heterogeneous, and dependence on traditional endpoints results in early proof-of-concept trials being long and large, with very poor signal to noise. It is no wonder that pharmaceutical and biotechnology companies are looking to biomarkers as an integral part of decision-making process supported by new technologies such as genetics, genomics, proteomics, and imaging as a mean of rationalizing CNS drug development. The present review represent an effort to illustrate the integration of such technologies in drug development supporting the path of individualized medicine.
- Published
- 2006
36. 45 nm Node Multi Level Interconnects with Porous SiOCH Dielectric k=2.5
- Author
-
P. Brun, Robert Fox, J. Torres, Y. Le-Friec, J. Todeschini, S. Maitrejean, P. Vannier, E. Richard, C. Guedj, A. Toffoli, R. Delsol, W Besling, G. Imbert, M. Aimadeddine, Vincent Arnal, J.P. Jacquemin, E. Ollier, B. Icard, M. Mellier, and Alexis Farcy
- Subjects
Materials science ,CMOS ,Electrical resistivity and conductivity ,business.industry ,Electronic engineering ,Copper interconnect ,Optoelectronics ,Node (circuits) ,Dielectric ,Porous medium ,business ,Capacitance ,Electromigration - Abstract
A 45nm node BEOL integration scheme is presented with 140nm metal pitch at local and intermediate levels and 70nm via size. The dual damascene (DD) process is performed in a full porous low-k (k=2.5) at line and via level in order to meet RC performance requirements. Parametrical results show functional via chains and good line resistance and serpentine continuity at 45nm node dimensions. Copper resistivity and electromigration performances were investigated for line widths below 50 nm upon using ALD and PVD barriers
- Published
- 2006
- Full Text
- View/download PDF
37. Spectral photoresponse of advanced interconnects: a possible solution to the ITRS most difficult characterization challenges
- Author
-
F. Gaillard, J. Torres, G. Imbert, V. Girault, Lucile Arnaud, Roel Daamen, Vincent Jousseaume, Zvonimir Gabric, Gérard Passemard, Romano Hoofman, L.G. Gosset, J. Mitard, Vincent Arnal, M. Assous, C. Guedj, Werner Pamler, Andreas Stich, A. Toffoli, Laurent Favennec, and D. Bouchu
- Subjects
Materials science ,Stack (abstract data type) ,business.industry ,Band gap ,Optoelectronics ,Dielectric ,business ,Spectral line ,Characterization (materials science) - Abstract
The spectral photoresponse of advanced interconnects is potentially interesting for the precise characterization of advanced interconnects, using standard comb test structures under illumination. This electro-optical method provides detailed information of the chemical composition of each layer of the dielectric stack via their bandgap. In addition, this non-destructive characterization is sensitive to internal strain, and is adapted to sub-32 nm generations
- Published
- 2006
- Full Text
- View/download PDF
38. Integration of multi-level self-aligned CoWP barrier compatible with high performance BEOL
- Author
-
M. Mellier, J.C. Dupuy, P. Brun, G. Imbert, A. Margain, S. Jullian, Joaquim Torres, R. Gras, S. Chhun, W. F. A. Besling, J. Guillan, T. Van ypre, E. Ollier, L.G. Gosset, Laboratoire des technologies de la microélectronique (LTM), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS), and Clot, Marielle
- Subjects
010302 applied physics ,Interconnection ,Materials science ,Passivation ,business.industry ,Process development ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Stack (abstract data type) ,Etching (microfabrication) ,0103 physical sciences ,Trench ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS - Abstract
A hybrid CoWP/SiCN Cu passivation was integrated in a three-metal-level interconnect stack at 65 nm technology node using a porous ULK material (K=2.5). 5 and 20 nm thick Pd-free CoWP electroless barriers were evaluated using a standard trench first hard mask architecture (TFHM) integration scheme, with PVD, ALD or punch-through Ta-based metallization processes. This study evidenced strong interaction between CoWP and etching chemistries, inducing feature size modification. Results evidenced the successful integration of an ultra-thin electroless barrier with slight process tuning, whereas thicker one still requires specific etch process development or integration scheme modification
- Published
- 2006
- Full Text
- View/download PDF
39. Effect of Process Induced Strain in 35 nm FDSOI Devices with Ultra-Thin Silicon Channels
- Author
-
F. Vigilant, G. Imbert, Claire Fenouillet-Beranger, Philippe Garnier, Francois Leverd, L. Gabette, C. Chaton, M. Broekaart, Stephane Denorme, S. Jullian, Frederic Boeuf, A. Vandooren, Vincent Fiori, A. Tarnowka, T. Kormann, C. Laviron, Pascal Gouraud, Nicolas Loubet, Sorin Cristoloveanu, C. Gallon, Thomas Skotnicki, Roland Pantel, F. Pionnier, and H. Bernard
- Subjects
Materials science ,Silicon ,chemistry ,Strain (chemistry) ,Scientific method ,chemistry.chemical_element ,Nanotechnology - Published
- 2005
- Full Text
- View/download PDF
40. Muscular modelling: relationship between postural default and spine overloading
- Author
-
V, Pomero, J-M, Vital, F, Lavaste, G, Imbert, and W, Skalli
- Subjects
Posture ,Humans ,Spinal Diseases ,Muscle, Skeletal ,Models, Biological ,Spine - Abstract
The objectives of the study are to describe and use a muscular model to compare spinal loads and muscles recruitments between an unbalanced subject (patient) and a normal volunteer. Data collection was performed and imputed into the muscular model: from sagittal X-rays, together with plantar foot pressure measurements, external loads for the L3/L4 level were calculated. Using MRI of the thoraco-lumbar region and muscular testing, a personalized muscular model was constructed. The main results are as follow: external loads for the unbalanced subject were higher because of the postural default, especially for flexion moment. Running the model, simulations showed a higher erector spinae group activation for the patient. This induced a significant difference in joint compression. Setting the maximum admissible stress of the extensor muscles of the patient to an equivalent level as the one found for the volunteer to maintain the posture, a second simulation was performed. Joint compression was reduced, but postero-anterior shear and flexion moment increased drastically. The model suggests that either the muscular system needed a stronger activation, yielding a higher joint compression and probably a muscle fatigue in such an activation level, or the spinal loads increased to a higher and probably dangerous level.
- Published
- 2004
41. A functional 0.69 μm/sup 2/ embedded 6T-SRAM bit cell for 65 nm CMOS platform
- Author
-
P.O. Sassoulas, Francois Wacquant, J. Todeschini, M. Woo, M. Charpin, Y. Laplanche, N. Revil, J.C. Oberlin, Roland Pantel, B. Hinschberger, O. Belmont, D. Neira, P. Stolk, Franck Arnaud, M. Broekaart, Frederic Boeuf, I. Guilmeau, D. Ceccarelli, Francois Leverd, N. Emonet, Damien Lenoble, Bertrand Borot, G. Imbert, N. Bicais, S. Delmedico, A. Sicard, Nicolas Planes, J. Farkas, Christophe Regnier, V. Vachellerie, J. Uginet, Chittoor Parthasarathy, E. Denis, V. DeJonghe, Pierre Morin, T. Devoivre, H. Brut, R. Palla, Laurent Pain, P. Vannier, F. Salvetti, A. Beverina, and C. Perrot
- Subjects
Bit cell ,Materials science ,business.industry ,Transistor ,Electrical engineering ,Low-k dielectric ,law.invention ,CMOS ,law ,Gate oxide ,MOSFET ,Optoelectronics ,Photolithography ,business ,Lithography - Abstract
This work highlights a 65 nm CMOS technology platform for low power and general-purpose applications. A 6-T SRAM cell size of 0.69 /spl mu/m/sup 2/ with a 45 nm gate length is demonstrated. Electrical data of functional SRAM bit-cell is presented at V/sub dd/=0.9 Volt using a conventional nitrided gate oxide dielectric. A comparison between offset spacer and PLAsma Doping (PLAD) is made for the transistor characteristics with very promising V/sub th/-L/sub d/ and V/sub th/-W/sub d/ profiles measured. Lithography employed a combination of both optical lithography and e-beam imaging. The BEOL integration used a conventional low K dielectric with copper metallization.
- Published
- 2004
- Full Text
- View/download PDF
42. Interleukin-4 induces Coxiella burnetii replication in human monocytes but not in macrophages
- Author
-
Didier Raoult, Christian Capo, Eric Ghigo, G. Imbert, and Jean-Louis Mege
- Subjects
Q fever ,In Vitro Techniques ,General Biochemistry, Genetics and Molecular Biology ,law.invention ,Microbiology ,Immune system ,History and Philosophy of Science ,law ,medicine ,Humans ,Interleukin 4 ,biology ,Tumor Necrosis Factor-alpha ,General Neuroscience ,Macrophages ,Interleukin ,bacterial infections and mycoses ,Coxiella burnetii ,biology.organism_classification ,medicine.disease ,Kinetics ,Immunology ,Recombinant DNA ,Leukocytes, Mononuclear ,bacteria ,Interleukin 19 ,Tumor necrosis factor alpha ,Interleukin-4 ,Cell Division - Abstract
Coxiella burnetii, an obligate intracellular bacterium, is the agent of Q fever. The chronic disease is characterized by impaired cell-mediated immune response and microbicidal activity of monocytes. We hypothesized that interleukin(IL)-4, a Th2 cytokine, interferes with the fate of C. burnetii inside monocytes. C. burnetii survived without multiplication in resting monocytes, but replicated in IL-4-treated monocytes. The effect of IL-4 is specific for monocytes since IL-4 did not stimulate C. burnetii replication in monocyte-derived macrophages. The effects of IL-4 on bacterial replication and on tumor necrosis factor (TNF) production in monocytes were apparently not related. Although IL-4 inhibited C. burnetii-stimulated release of TNF, the addition of recombinant TNF to IL-4-treated monocytes did not prevent the IL-4 effect. These results suggest that IL-4 enables monocytes to support C. burnetii replication and a Th2 polarization of immune response that may interfere with immune control of Q fever.
- Published
- 2003
43. Automatic inspection systems for the flat glass industry
- Author
-
G. Imbert
- Subjects
Automated optical inspection ,Engineering drawing ,Machine vision ,Computer science ,business.industry ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Process (computing) ,Flat glass ,GeneralLiterature_MISCELLANEOUS ,Automatic test equipment ,Automated X-ray inspection ,Software ,Control system ,business - Abstract
The author presents two types of automatic inspection system (AIS) designed for the glass industry. The first type of system is designed for the dimensional inspection of flat glass pieces. Using a linear scanning system, lighting apparatus, and customized software, the AIS is fully integrated into the control system of the glass plant. Dimensions and tolerances are transmitted by the supervisor units, which adjust the cutting process according to the feedback data such as dimensions, broken corners, and straightness. The second type of system is a turnkey system for three-dimensional inspection of curved glass pieces (side and rear car windows). A variety of leading-edge technologies are implemented to provide a fully noncontact inspection system: vision software, high-speed handling system, metrology algorithms, laser lighting, etc. >
- Published
- 2003
- Full Text
- View/download PDF
44. Dysregulation of cytokines in acute Q fever: role of interleukin-10 and tumor necrosis factor in chronic evolution of Q fever
- Author
-
Amélie Honstettre, Jean-Louis Mege, Didier Raoult, Eric Ghigo, Christian Capo, Frédérique Gouriet, and G. Imbert
- Subjects
Adult ,Male ,Adolescent ,Q fever ,medicine ,Immunology and Allergy ,Endocarditis ,Humans ,Aged ,Hepatitis ,Aged, 80 and over ,biology ,business.industry ,Tumor Necrosis Factor-alpha ,Interleukin ,Endocarditis, Bacterial ,Middle Aged ,medicine.disease ,Coxiella burnetii ,biology.organism_classification ,Heart Valves ,Interleukin-10 ,Pneumonia ,Infectious Diseases ,Rickettsiosis ,Immunology ,Disease Progression ,Leukocytes, Mononuclear ,Tumor necrosis factor alpha ,Female ,business ,Q Fever ,Biomarkers - Abstract
Q fever manifests as primary infection or acute Q fever and may become chronic in patients with underlying valvulopathy. Because Coxiella burnetii infection depends on host response, we measured tumor necrosis factor (TNF), interleukin (IL)-6, IL-12, and IL-10 in patients with different clinical presentations of acute Q fever. Compared with control subjects, patients with uncomplicated acute Q fever exhibited increased release of the 4 cytokines. Their amounts were higher in patients with hepatitis than in patients with fever or pneumonia. In patients with valvulopathy, who exhibited the highest risk of chronic evolution, the amounts of TNF and IL-10 were higher than in patients without valvulopathy. TNF production was specifically enhanced in patients who developed Q fever endocarditis. These results show that acute Q fever is associated with cytokine overproduction. Persistent TNF amounts were associated with the occurrence of endocarditis in patients with valvulopathy, and that may be a marker of chronic evolution of Q fever.
- Published
- 2002
45. Ultra low-K shrinkage behavior when under electron beam in a scanning electron microscope
- Author
-
A. Roggero, F. Lorut, and G. Imbert
- Subjects
Materials science ,Scanning electron microscope ,Analytical chemistry ,General Physics and Astronomy ,law.invention ,law ,Microscopy ,Cathode ray ,Electron microscope ,Composite material ,Electron beam-induced deposition ,Thin film ,Environmental scanning electron microscope ,Shrinkage - Abstract
In this paper, we investigate the tendency of porous low-K dielectrics (also named Ultra Low-K, ULK) behavior to shrink when exposed to the electron beam of a scanning electron microscope. Various experimental electron beam conditions have been used for irradiating ULK thin films, and the resulting shrinkage has been measured through use of an atomic force microscope tool. We report the shrinkage to be a fast, cumulative, and dose dependent effect. Correlation of the shrinkage with incident electron beam energy loss has also been evidenced. The chemical modification of the ULK films within the interaction volume has been demonstrated, with a densification of the layer and a loss of carbon and hydrogen elements being observed.
- Published
- 2013
- Full Text
- View/download PDF
46. A system for saturating in vitro preparations with high pressure O2, He, H2, and mixtures
- Author
-
G, Imbert, J S, Colton, W, Long, Y, Grossman, and H J, Moore
- Subjects
Oxygen ,Perfusion ,Pressure ,Equipment Design ,Helium ,Hydrogen - Abstract
Saturation of a liquid with gas before perfusing a tissue or cellular preparation under pressure can be achieved by bubbling the gas through the liquid. A container for this purpose that is housed in the pressure chamber with the preparation allows saturation of the liquid under hyperbaric conditions. Sealing the container allows saturation with a gas different from the gas used to compress the pressure chamber. If the pressure within the sealed container is maintained at a slightly higher level, the bubbling gas can also provide the driving force for the liquid to flow to the preparation. Based on this concept, an apparatus was built and tested to pressures of 6.8 MPa. This paper describes the saturator and the associated circuitry used to control bubbling gas pressure relative to the pressure vessel, gas flow through the saturator, and liquid flow to the preparation. A special application in the case of hydrogen gas is described, where this system has added safety advantages.
- Published
- 1992
47. [Survey during 4 years of the infestation level of the tick Ixodes ricinus (acari Ixodidae) by Borrelia burgdorferi, the agent of Lyme borreliosis, in 2 forests in Brittany]
- Author
-
J M, Doby, G, Bigaignon, O, Lorvelec, and G, Imbert
- Subjects
Lyme Disease ,Ticks ,Borrelia burgdorferi Group ,Data Collection ,Animals ,Arachnid Vectors ,France ,Longitudinal Studies ,Entomology ,Trees - Abstract
The authors followed, during 4 years consecutively, from 1987 to 1990, by immunofluorescence, the frequency of B. burgdorferi in an amount of 677 nymphs of I. ricinus tick, collected fasting by flagging in 2 forests in Brittany (France). Percentages obtained in each of these forests do not reveal significative differencies statistically and seem to show a relative stability, from one year to the following, during the considered period, of the infestation levels in ticks.
- Published
- 1991
48. P73 Représentations de la maladie chez les diabétiques de type 2 et compétences culturelles du clinicien
- Author
-
G. Imbert
- Subjects
Endocrinology ,Endocrinology, Diabetes and Metabolism ,Internal Medicine ,General Medicine - Abstract
Objectif Identifier et analyser les representations de la maladie aupres de personnes autochtones porteuses du diabete de type 2. Materiels et methodes Dans le cadre de cette recherche qualitative, des entretiens semi-directifs approfondis ont ete conduits au domicile de 30 personnes diabetiques de type 2 autochtones interrogees dans leur langue maternelle. S’inscrivant dans une perspective culturaliste interpretative et s’inspirant d’un modele semiologico-phenomenologique, le contenu des recits traduits en francais a ete analyse afin de saisir l’univers de sens que les patients ont construit autour de cette maladie. Resultats L’analyse du materiel empirique permet de cartographier les representations de la maladie des patients selon trois axes : l’axe semantique (celui du sens), l’axe semiologique (celui des signes) et l’axe phenomenologique (celui de l’experience vecue). Le decodage des reseaux semantiques met notamment en lumiere le role majeur joue par la cause que les patients attribuent au diabete. Cette derniere peut ainsi constituer le point d’ancrage du deni de leur maladie et expliquer, en partie, l’echec de la prise en charge therapeutique par les cliniciens. Enfin, ces resultats illustrent de maniere exemplaire, le mode de reinterpretation des messages de prevention produits par les cliniciens et leur impact sur le comportement du patient vis-a-vis de sa maladie, notamment en termes d’effets contraires, invitant ainsi les cliniciens a repenser differemment leurs pratiques professionnelles. Conclusion En contribuant a l’amelioration de la comprehension des barrieres a l’observance therapeutique de patients autochtones diabetiques de type 2, ces travaux en anthropologie medicale soulignent l’importance de l’integration des facteurs socioculturels dans la prise en charge medicale des patients. Dans cette perspective, cette etude constitue une base de reflexion pertinente ouvrant sur l’exploration de la question des competences culturelles des cliniciens.
- Published
- 2008
- Full Text
- View/download PDF
49. Electronic and chemical properties of the TaN/a-SiOC:H stack studied by photoelectron spectroscopy for advanced interconnects
- Author
-
François Bertin, O. Renault, Christophe Licitra, R. Delsol, Eugénie Martinez, Amal Chabli, C. Guedj, G. Imbert, and Denis Mariolle
- Subjects
X-ray photoelectron spectroscopy ,Band gap ,Chemistry ,Ellipsometry ,Electron affinity ,Band diagram ,Analytical chemistry ,General Physics and Astronomy ,Work function ,Dielectric ,H band - Abstract
Thin TaN metallic barriers are used to prevent copper diffusion into porous low-k dielectrics such as a-SiOC:H for advanced interconnects. We investigate the detailed electronic properties of the TaN/a-SiOC:H stack. Here we combine ultraviolet and x-ray photoelectron spectroscopy to measure the chemical composition and the whole band diagram of the TaN/a-SiOC:H stack. An original interpretation based on the image-force model used for internal photoemission is suggested to explain the electric field effect induced by negative bias of a-SiOC:H. This model is used to extrapolate the unbiased electron affinity of the dielectric. TaN work function, a-SiOCH band gap, valence band maximum and electron affinity of 4.6, 7.7, 4.0, and 3.8 eV are respectively obtained. Kelvin force microscopy and spectroscopic ellipsometry confirm TaN work function and a-SiOC:H band gap measurements, respectively. From the full band diagram of the TaN/a-SiOC:H stack, an interfacial barrier height of 0.8 eV is deduced.
- Published
- 2008
- Full Text
- View/download PDF
50. Influence of electron-beam and ultraviolet treatments on low-k porous dielectrics
- Author
-
Y. Le Friec, Christophe Licitra, Névine Rochat, G. Imbert, Eugénie Martinez, and C. Guedj
- Subjects
Auger electron spectroscopy ,Materials science ,X-ray photoelectron spectroscopy ,Chemical engineering ,Attenuated total reflection ,Analytical chemistry ,General Physics and Astronomy ,Infrared spectroscopy ,Fourier transform infrared spectroscopy ,Porous medium ,Evaporation (deposition) ,Electron spectroscopy - Abstract
The down scaling of complementary metal oxide semiconductor transistors requires materials such as porous low-k dielectrics for advanced interconnects to reduce resistance-capacitance delay. After the deposition of the matrix and a sacrificial organic phase (porogen), postcuring treatments may be used to create porosity by evaporation of the porogen. In this paper, Auger electron spectroscopy is performed to simultaneously modify the material (e-beam cure) and measure the corresponding changes in structure and chemical composition. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopy measurements in attenuated total reflection mode confirm the Auger results. The porogen removal and matrix cross-linking result in the formation of a Si–O–Si network under e-beam or ultra violet cure. The possible degradation of these materials, even after cure, is mainly due the presence of Si–C bonds.
- Published
- 2006
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.