160 results on '"Rajinder P. Khosla"'
Search Results
2. Characterization of Integrated Nano Materials
- Author
-
Amal Chabli, Peter Cherns, Nicolas Chevalier, David Cooper, Dominique Lafond, François Bertin, Henri Blanc, Ariel Brenac, Philippe Andreucci, Jean-Christophe Gabriel, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, Alain C. Diebold, Minatec Grenoble (CEA/ INP Grenoble), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), This work was supported by the French National Research Agency (ANR) through the Carnot fundingand the Basic Technological Research Program., David G. Seiler, Alain C. Diebold, Robert McDonald, C. Michael Garner, Dan Herr, Rajinder P. Khosla, Erik M. Secula, ANR-06-CARN-0011-01,Carnot LETI, and ANR-06-CARN-0011-01,LETI,LETI(2006)
- Subjects
Materials science ,Dynamic properties ,Nanowire ,Nanotechnology ,02 engineering and technology ,Carbon nanotube ,01 natural sciences ,law.invention ,Scanning probe microscopy ,[SPI]Engineering Sciences [physics] ,Beyond CMOS ,law ,0103 physical sciences ,[CHIM]Chemical Sciences ,Tomography ,010302 applied physics ,Protocol (science) ,Nanoelectromechanical systems ,Microscopy ,Nanotubes ,021001 nanoscience & nanotechnology ,Characterization (materials science) ,CMOS ,3D characterization ,0210 nano-technology ,PACS: 68.37.-d ,81.07.-b ,Nanowires NWs ,Nanoneedle - Abstract
International audience; Depending on the level of the technological developments, the characterization techniques are mature tosupport them or still require protocol definition and relevance demonstration for the issues addressed. For BeyondCMOS and Extreme CMOS devices, the integration of nano-objects like nanowires and carbon nanotubes, brings aboutanalysis requirements that are at the frontier of the state-of-the-art characterization techniques. The specific limitationsof the use of the existing physical and chemical characterization techniques for integrated nanomaterials are highlighted.In the case of Scanning Probe Microscopy, in-situ localization and positioning are specifically challenging and dataanalysis is mainly statistical. It is also shown how specific sample preparation may serve the extraction of the required3D information in particular for Electron Microscopy. The measurement developments related to NEMS technologiesguided by the need for dynamic characterization of these components are covered too.
- Published
- 2009
3. Obituary of Ronald Sladek (1926-2012)
- Author
-
N. Giordano, A. K. Ramdas, and Rajinder P. Khosla
- Subjects
Philosophy ,General Physics and Astronomy ,Obituary ,Theology - Published
- 2012
4. From Photons to Bits
- Author
-
Rajinder P. Khosla
- Subjects
Printing press ,geography ,geography.geographical_feature_category ,Cave painting ,Cave ,law ,media_common.quotation_subject ,Imaging technology ,General Physics and Astronomy ,Art ,law.invention ,media_common ,Visual arts - Abstract
There are many ways to record optical images. One might describe Paleolithic cave paintings as the earliest imaging technology. As significant as they probably were to those who made and lived with them, these depictions were limited by the artist's skill and time, and only persons who entered the cave could benefit from them. It was not until the coming of the printing press that a particular image could be made available to a wide audience. But even then one was still dependent on the artistic skill and subjective vision of the individual artist.
- Published
- 1992
5. Automated crystal phase and orientation mapping of nanocrystals in a transmission electron microscope
- Author
-
Peter Moeck, Sergei Rouvimov, Edgar F. Rauch, Stavros Nicolopoulos, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Conventional transmission electron microscope ,Materials science ,Microscope ,business.industry ,law.invention ,Crystal ,Reciprocal lattice ,Optics ,Nanocrystal ,Electron diffraction ,Transmission electron microscopy ,law ,High-resolution transmission electron microscopy ,business - Abstract
An automated technique for the mapping of nanocrystal phases and orientations in a transmission electron microscope (TEM) is described. It is based on the projected reciprocal lattice geometry that is extracted from electron diffraction spot patterns. The required hardware allows for a scanning‐precession movement of the primary electron beam on the crystalline sample and can be interfaced to any newer or older TEM. The software that goes with this hardware is flexible in its intake of raw data so that it can also create orientation and phase maps of nanocrystal from high resolution TEM (HRTEM) images. When the nanocrystals possess a structure with a small to medium sized unit cell, e.g. noble metals or minerals that possess the halite structural prototype, an objective‐lens aberration corrected microscope needs to be utilize for the recording of the HRTEM images that are to be processed by this software. Experimentally obtained crystal phase and orientation maps are shown for iron oxide and clausthalite ...
- Published
- 2009
6. GIXRF In The Soft X-Ray Range Used For The Characterization Of Ultra Shallow Junctions
- Author
-
B. Beckhoff, P. Hoenicke, D. Giubertoni, G. Pepponi, M. Bersani, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Dopant ,business.industry ,X-ray fluorescence ,Synchrotron radiation ,chemistry.chemical_element ,Fluence ,Secondary ion mass spectrometry ,symbols.namesake ,Optics ,chemistry ,symbols ,Wafer ,business ,Raman spectroscopy ,Boron - Abstract
Grazing Incidence X‐Ray Fluorescence (GIXRF) analysis in the soft X‐ray range provides excellent conditions for exciting B‐K and As‐Liii,ii shells. The X‐ray Standing Wave field (XSW) associated with GIXRF on flat samples is used as a tunable sensor to gain information about the implantation profile in the nm range due to the in‐depth changes of the XSW intensity dependent on the angle between the sample surface and the primary beam. This technique is very sensitive to near surface layers. It is therefore well suited for the study of ultra shallow dopant distributions. Arsenic implanted (100) Si wafers with nominal fluence between 1.0 1014 cm−2 and 5.0 1015 cm−2 and implantation energies between 0.5 keV and 5.0 keV and Boron implanted (100) Si wafers with nominal fluence of 1.0 1014 cm−2 and 5.0 1015 cm−2 and implantation energies between 0.2 keV and 3.0 keV have been used to compare SIMS analysis with synchrotron radiation induced GIXRF analysis in the soft X‐ray range. The measurements have been carried...
- Published
- 2009
7. Effects of Experimental Parameters on the Work Function Measurement: A Kelvin Force Microscopy Study
- Author
-
K. Kaja, N. Chevalier, D. Mariolle, F. Bertin, G. Feuillet, A. Chabli, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Kelvin probe force microscope ,Modulation ,Chemistry ,Atomic force microscopy ,Microscopy ,Nanotechnology ,Work function ,Biological system ,Signal - Abstract
The analysis of the Work Function measurements, of different materials, using the Kelvin Force Microscopy technique (KFM) is not trivial. Various artifacts can alter the interpretation of KFM results. Thus a good understanding of experimental conditions effects, involved in a measurement procedure, is essential to provide a reliable interpretation and avoid false conclusions. In this paper, we present an experimental study of the most relevant parameters influencing KFM measurements performed alternatively under air and nitrogen conditions. We investigate the effects of the tip‐sample separation, the tip shape, the relative humidity and the modulation signal applied to the probe. We provide arguments and discussions in order to explain experimentally observed behaviors. We also propose experimental settings and protocols by providing the most convenient conditions for reliable KFM results.
- Published
- 2009
8. Porous SiOCH Post Plasma Damage Characterization Using Ellipsometric Porosimetry
- Author
-
C. Licitra, R. Bouyssou, T. Chevolleau, N. Rochat, F. Bertin, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Contact angle ,Materials science ,Chemical engineering ,Ashing ,Kinetics ,Analytical chemistry ,Infrared spectroscopy ,Porosimetry ,Plasma ,Dielectric ,Porosity - Abstract
Porous ultra low‐κ (ULK) dielectrics are used to reduce resistance‐capacitance delay for advanced CMOS interconnects. Since the porosity leads to an increased sensitivity of the material to cleaning, etching and ashing plasmas, new characterization techniques are needed to assess the ULK properties during its integration. We show that ellipsometric porosimetry (EP), especially with the combination of several solvents, can be successfully used to characterize porous SiOCH films after different plasma treatments, using reducing and oxidizing chemistries (NH3, H2, CH4 or O2). The characterization of the plasma modified layer is presented and discussed in terms of thickness, hydrophobicity (EP and water contact angle) and κ value. In addition, infrared spectroscopy is used to quantify the methyl (Si‐CH3) depletion. Finally the plasma sealing efficiency is quantified by studying the solvent penetration kinetics.
- Published
- 2009
9. X-ray Scattering Methods for Porosity Metrology of Low-k Thin Films
- Author
-
C. M. Settens, V. K. Kamineni, G. A. Antonelli, A. Grill, A. C. Diebold, R. J. Matyi, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
X-ray reflectivity ,Electron density ,Optics ,Materials science ,Scattering ,business.industry ,Specular reflection ,Thin film ,Born approximation ,business ,Porosity ,Molecular physics ,Refraction - Abstract
X‐ray scattering is a non‐destructive analytical technique capable of detecting electron density fluctuations in mesoporous and nanoporous structures. X‐ray methods have the potential to provide fully quantitative porosity analyses of open and closed pore structures. Specular x‐ray reflectivity (XRR) offers accurate measurement of thickness, density, and roughness of uniformly deposited thin films. Diffuse scattering techniques are also studied by manipulation of the scattering geometry and approximation of the average pore size and pore size distribution. Effects of refraction and reflection from the surface and buried interface are corrected via the Distorted Wave Born Approximation (DWBA). Distortion of the scattering profile due to slit smearing is also taken into account to obtain an accurate interpretation of diffuse scattering phenomena. Preliminary results for average pore size and pore size distribution of PECVD‐prepared SiCOH thin films with both open and closed pore structures are reported, and show good agreement with positron annihilation lifetime spectroscopy (PALS) data.
- Published
- 2009
10. Enhanced TEM Sample Preparation Using In-situ Low Energy Argon Ion Milling
- Author
-
Heiko Stegmann, Yvonne Ritz, Dirk Utess, René Hübner, Ehrenfried Zschech, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Argon ,Ion beam ,chemistry ,Scanning electron microscope ,Transmission electron microscopy ,Analytical chemistry ,chemistry.chemical_element ,Sample preparation ,Ion milling machine ,Focused ion beam ,Characterization (materials science) - Abstract
Sample preparation is a critical step in transmission electron microscopy (TEM) that significantly determines the quality of structural characterization and analysis of a specimen. In recent years, the accuracy and quality requirements for the preparation of TEM cross‐sections of nanoelectronic structures have drastically increased. Combining a focused low‐energy noble gas ion beam column with a FIB and a SEM column in a three beam system meets these requirements. It provides precise target preparation as well as minimum thickness and surface damage of the TEM sample.
- Published
- 2009
11. Simulations of Scatterometry Down to 22 nm Structure Sizes and Beyond with Special Emphasis on LER
- Author
-
W. Osten, V. Ferreras Paz, K. Frenner, T. Schuster, H. Bloess, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Diffraction ,Engineering ,business.industry ,Emphasis (telecommunications) ,Structure (category theory) ,Field (computer science) ,Metrology ,symbols.namesake ,Fourier transform ,symbols ,Electronic engineering ,Sensitivity (control systems) ,business ,Free parameter - Abstract
In recent years, scatterometry has become one of the most commonly used methods for CD metrology. With decreasing structure size for future technology nodes, the search for optimized scatterometry measurement configurations gets more important to exploit maximum sensitivity. As widespread industrial scatterometry tools mainly still use a pre‐set measurement configuration, there are still free parameters to improve sensitivity. Our current work uses a simulation based approach to predict and optimize sensitivity of future technology nodes. Since line edge roughness is getting important for such small structures, these imperfections of the periodic continuation cannot be neglected. Using fourier methods like e.g. rigorous coupled wave approach (RCWA) for diffraction calculus, nonperiodic features are hard to reach. We show that in this field certain types of fieldstitching methods show nice numerical behaviour and lead to useful results.
- Published
- 2009
12. EBSD Analysis of Narrow Damascene Copper Lines
- Author
-
Roy H. Geiss, David T. Read, Glenn B. Alers, Rebekah L. Graham, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Barrier layer ,Overburden ,Materials science ,Diffusion barrier ,Copper interconnect ,Mineralogy ,Texture (crystalline) ,Composite material ,Microstructure ,Grain size ,Electron backscatter diffraction - Abstract
Orientation imaging microscopy by electron backscatter diffraction (EBSD) has been used to examine grain size and crystallographic orientations of damascene Cu lines nominally 25 nm to 55 nm in width and 100 nm thick. These are the smallest structures reported to have been examined by EBSD to date. This application poses significant challenges in specimen preparation, data collection, and data analysis. Most lines were deposited on a Ta diffusion barrier, and one set had a Ti barrier. Overburden thicknesses of 120 nm, 240 nm, and 480 nm were available with the Ta diffusion barrier, but only the 120 nm overburden case was analyzed for the Ti diffusion barrier. The grain structure of the lines was predominantly bamboo. The distributions of grain lengths along individual lines had large standard deviations, ranging up to greater than the average grain size value. Print‐through of overburden grains into the grain structure of the lines was evident for all lines. Large grain sizes and a strong positive correlation between line width and grain size were seen for the case of the Ta barrier layer with 120 nm overburden thickness, but not for the thicker overburden cases. Specimen surface preparation of these lines was found to be much more demanding than for larger regions of copper films such as those that have been studied previously. For some lines, prepared according to the typical state of the art, we were able to find indexable diffraction signals from less than half of the 100 μm line length.
- Published
- 2009
13. Characterization of HfO[sub 2] and Hafnium Silicate Films on SiO[sub 2]∕Si
- Author
-
E. Bersch, M. Di, S. Consiglio, R. D. Clark, G. J. Leusink, A. C. Diebold, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,X-ray photoelectron spectroscopy ,chemistry ,Photoemission spectroscopy ,Annealing (metallurgy) ,Band gap ,Gate dielectric ,Analytical chemistry ,chemistry.chemical_element ,Dielectric ,Spectroscopy ,Hafnium - Abstract
As the MOSFET SiO2‐based gate dielectric layer approaches its fundamental physical limits, the investigation of high‐k oxides is ongoing in order to determine which oxides can best continue the scaling of the MOSFET. HfO2 and hafnium silicates are leading candidates due to their relatively large band gaps, thermal stability in proximity to Si and relatively high dielectric constants. We have used a combination of x‐ray photoemission spectroscopy (XPS) and spectroscopic ellipsometry (SE) to measure the band offsets between the high‐k layers and the Si substrates. Shifts in band alignment that occur upon deposition of the HfO2 layer and annealing of the HfO2/SiO2/Si film stack will be discussed in light of XPS spectra. Non‐destructive compositional depth profiles constructed from angle resolved XPS data will also be presented and film thicknesses determined from them will be compared to thicknesses measured by SE.
- Published
- 2009
14. Quantifying and enforcing two-dimensional symmetries in scanning probe microscopy images
- Author
-
Peter Moeck, Marius Toader, Mahmoud Abdel-Hafiez, Michael Hietschold, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Physics ,Scanning probe microscopy ,Optics ,business.industry ,Computer Science::Computer Vision and Pattern Recognition ,Microscopy ,Scanning confocal electron microscopy ,Scanning ion-conductance microscopy ,Crystallographic image processing ,Scanning capacitance microscopy ,business ,Feature-oriented scanning ,Vibrational analysis with scanning probe microscopy - Abstract
The overall performance and correctness of the calibration of all kinds of traditional scanning probe microscopes can be assessed in a fully quantitative way by means of " crystallographic" processing of their two- dimensional (2D) images from samples with 2D periodic (and preferably highly symmetric) features. This is because crystallographic image processing results in two residual indices that quantify by how much the symmetry in a corresponding scanning probe microscopy image deviates from the symmetries of the possible plane groups of the periodic features of the sample. When a most probable plane symmetry group has been identified on the basis of crystallographic image processing, the symmetry in the scanning probe microscopy image can be "enforced" in order to obtain "clearer" images, effectively removing the less than ideal "influence" of the microscope on the imaging processes. This paper illustrated the crystallographic image processing procedure for scanning tunneling microscopy images that were recorded from a monolayer of a phthalocyanine on two different types of substrates.
- Published
- 2009
15. Compact X-ray Tool For Critical-Dimension Metrology
- Author
-
Boris Yokhin, Alexander Krokhmal, Alexander Dikopoltsev, David Berman, Isaac Mazor, Byoung-Ho Lee, Dong-Chul Ihm, Kwang Hoon Kim, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Physics ,Scattering ,business.industry ,Detector ,Synchrotron radiation ,Synchrotron ,Metrology ,law.invention ,Optics ,law ,Angular resolution ,Wafer ,business ,Critical dimension - Abstract
Small Angle X‐ray Scattering (SAXS) is a possible candidate to complement and, in a longer term, to replace existing methods like CD‐SEM and OCD for measurements of CD profiles. Previously reported CD‐SAXS results were very promising; however as obtained using bulky sources as synchrotron, they are most often restricted to research rather than production control. We have designed a pilot set‐up (XCD™) around μ‐focus X‐tube, high‐luminosity focusing mirror‐monochromator and pixilated detector, having in mind that after further optimization of the components, the tool will have a suitable footprint and acceptable throughput. The system operates on MoKα (17.4 keV) beam shining through the wafer, from below. The measurement spot size is 100 μ. The angular resolution allows to measure structures with a pitch 100 nm and below. A software package was developed to simulate and process XCD spectra, taking into account all the components contributing to the instrumental function of the system. A special technique was developed for alignment. For the purpose of the feasibility study a special CD structure was prepared. It consists of Si trenches with 50 nm pitch. It was found that in a relatively short time, pitch and width can be extracted with a precision on the level 1% RSD. The depth of the trenches was measured using another independent X‐ray channel (fast XRR) operating on the CuKα line (8 keV) at the grazing angle, striking normally to the trenches direction.
- Published
- 2009
16. Photoreflectance Spectroscopic Characterization of Si with SiO[sub 2] and HfO[sub 2] Dielectric Layers
- Author
-
Tianhao Zhang, Ming Di, Eric J. Bersch, Houssam Chouaib, Alex Salnik, Lena Nicolaides, Chris Bevis, Steven Consiglio, Robert D. Clark, Alain C. Diebold, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, and Robert McDonald
- Subjects
Materials science ,Silicon ,chemistry ,Dielectric layer ,Critical point (thermodynamics) ,MOSFET ,Analytical chemistry ,chemistry.chemical_element ,Dielectric ,Spectroscopy ,Layer thickness ,Spectral line - Abstract
Photoreflectance (PR) spectroscopy is employed as a non‐destructive and contactless technique for the characterization of silicon with SiO2 and HfO2 dielectric layers. The position of PR spectra reveals the critical point energy and the magnitude indicates the surface potential in silicon. By fitting PR spectra to a third‐derivative functional form, we find the critical point of silicon with a 1.0 nm SiO2 layer is 3.42 eV. The PR magnitude of samples with HfO2 dielectric layer decreases with layer thickness. This indicates the decreasing of surface potential with high‐κ layer thickness, possibly due to increased charge defects in the layer.
- Published
- 2009
17. Ultra-thin AlOx and LaOx Metrology—WD-XRF Technique Development
- Author
-
C. C. Wang, R. Wang, D. Liu, Y. Cao, X. Tang, Y. Uritsky, S. Gandikota, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,business.industry ,Equivalent oxide thickness ,Metrology ,chemistry.chemical_compound ,Optics ,Lanthanum oxide ,chemistry ,Gate oxide ,Optoelectronics ,Wafer ,Work function ,business ,Silicon oxide ,Metal gate - Abstract
Beyond the 45 nm node, metal gate and high‐k will replace the poly‐ and silicon oxide‐based gate. Hence, new materials, aluminum oxide (AlOx), and lanthanum oxide (LaOx), are being developed for high‐k gate oxide and work function tuning applications. Device performance demands stringent thickness uniformity (1 to 2% 1σ) control on 300 mm wafers. However, most of the popular metrology tools are incapable of measuring these ultra‐thin (>20 A) films, either due to lack of sensitivity or due to a strong correlation of thickness with composition or other properties. Hence thickness and composition cannot be determined independently. To satisfy these metrology and characterization needs, wavelength dispersive X‐ray fluorescence (WD‐XRF) techniques are being developed. WD‐XRF has the advantages of long term stability, good sensitivity, and robust data analysis algorithms. Its applications for the thickness and composition metrology of RF PVD aluminum oxide and lanthanum oxide ultra‐thin films with 1 to 2% relat...
- Published
- 2009
18. Post-Deposition Annealing Analysis for HfO[sub 2] Thin Films Using GIXRR∕GIXRD
- Author
-
Wei-En Fu, Yong-Qing Chang, Yi-Ching Chen, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Annealing (metallurgy) ,business.industry ,Gate dielectric ,X-ray reflectivity ,chemistry.chemical_compound ,Atomic layer deposition ,Optics ,chemistry ,Transmission electron microscopy ,Optoelectronics ,Thin film ,business ,Hafnium dioxide ,Leakage (electronics) - Abstract
High‐k materials, such as HfO2, Al2O3, and many others, have been employed to replace the SiO2 insulator in the gate dielectric device in order to offer significant gate leakage reduction. In this study, the physical properties of hafnium dioxide (HfO2) thin films were analyzed and characterized in the cases of ‘as‐deposited’ and ‘post‐deposition annealing’ (PDA). Ultra‐thin hafnium dioxide films of thickness 2.5, 5 and 10 nm were deposited on Si (100) substrates using atomic layer deposition (ALD) at temperature of 300° C. After deposition, the films were annealed using furnace in Ar ambient for 10 minutes at 450° C, 550° C, 650° C and 750° C. The thickness, density, roughness and the crystalline evolution of the HfO2 films were investigated by Grazing Incidence X‐Ray Reflectometry (GIXRR) and Grazing Incidence X‐Ray Diffraction (GIXRD) for both as‐deposited and post‐annealing conditions. Transmission Electron Microscope (TEM) was used to provide image verification of the two‐layer model applied in XRR f...
- Published
- 2009
19. Non-Traditional Spectroscopy for Analysis of Semiconductor and Photovoltaic Thin Film Materials
- Author
-
Fuhe Li, Scott Anderson, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Atomic layer deposition ,Laser ablation ,Semiconductor ,X-ray photoelectron spectroscopy ,business.industry ,Chemistry ,Analytical chemistry ,Optoelectronics ,Thin film ,business ,Spectroscopy ,Auger ,Characterization (materials science) - Abstract
Characterization of semiconductor thin films has long been determined by a number of traditional surface analysis techniques; Auger, ESCA/XPS, SEM‐EDS and SIMS to name only a few. Depth profiles, contamination in the thin film or quantitative stoichiometry are specific application examples that predicate the technique best suited for the analysis need. The evolution of photovoltaic (PV) thin film compositions with new chemistries and growing importance of atomic layer deposition (ALD) for semiconductor and nanoscale applications provide a sustaining need for thin film analyses along with an avenue for new analytical tools.In this paper we will discuss the applications of two non‐traditional material analysis techniques for the semiconductor and PV applications, glow discharge optical emission spectroscopy (RF GD‐OES) and laser ablation inductively coupled plasma mass spectrometry (LA ICP‐MS). Depth profiles are available via both techniques with the ability to analyze monolayers (single nm) as well as ana...
- Published
- 2009
20. On The Use Of Synchrotron Radiation For The Characterization Of 'TiN∕Hfo[sub 2]' Gate Stacks
- Author
-
C. Gaumer, E. Martinez, S. Lhostis, F. Fillot, P. Gergaud, B. Detlefs, J. Roy, Y. Mi, J.-P. Barnes, J. Zegenhagen, A. Chabli, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,business.industry ,Analytical chemistry ,chemistry.chemical_element ,Synchrotron radiation ,Substrate (electronics) ,Dielectric ,Synchrotron ,law.invention ,Beamline ,X-ray photoelectron spectroscopy ,chemistry ,law ,Optoelectronics ,business ,Tin ,Metal gate - Abstract
The downscaling of Metal‐Oxide‐Semiconductor Field‐Effect Transistor (MOSFET) devices leads to the implementation of a high dielectric constant oxide and a metal gate to improve the electrical performances. A detailed analysis of the chemical and structural properties of the gate stack is necessary to optimize the integration scheme. Here, the use of a synchrotron source is illustrated for non destructive analysis of the gate stack. Grazing Incidence X‐Ray Diffraction (GIXRD) is performed to investigate the crystalline structure of the layers. HfO2 is found to be in the monoclinic phase with no change after metal gate deposition. TiN is crystallized in the cubic phase with no variation after Poly‐Si deposition and spike anneal. HArd X‐ray PhotoElectron Spectroscopy (HAXPES) appears to be mandatory for a non destructive analysis of the buried high‐k / substrate interface. Experiments are scheduled at the ID32 beamline of the European Synchrotron Radiation Facility (ESRF) to highlight nitrogen diffusion fro...
- Published
- 2009
21. High-Resolution Rutherford Backscattering Analysis of Nanoscale Thin Films
- Author
-
J. D. LaRose, M. Huang, E. Bersch, M. Di, A. C. Diebold, S. Consiglio, R. D. Clark, G. J. Leusink, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Spectrometer ,business.industry ,Detector ,Resolution (electron density) ,Analytical chemistry ,Characterization (materials science) ,Ion ,Optoelectronics ,Physics::Chemical Physics ,Thin film ,Spectroscopy ,business ,Gas chromatography ion detector - Abstract
Rutherford backscattering spectroscopy (RBS) has been an important analytical method for determination of the depth distribution of elemental concentrations in materials. The depth resolution of RBS is typically limited by the energy resolution of ion detectors. In this work we demonstrate the use of a compact magnetic spectrometer as the ion energy detector for high resolution RBS analysis. The magnetic spectrometer offers several advantages: (1) a high energy resolution ΔE/E∼1/2000; (2) a large bending power for MeV ions; and (3) a particular configuration allowing for true 180° RBS analysis. By combining this magnetic spectrometer with the grazing angle geometry, we have achieved a depth resolution better than 5 A for RBS analysis of concentration distributions in elemental (e.g., Ta) and compound (e.g. HfO 2 ) thin films using 2 MeV helium ions. These experimental results suggest that high‐resolution characterization of nanoscale thin films can be realized using MeV ions in conjunction with such magnetic spectrometers.
- Published
- 2009
22. A Study Of Gate-All-Around Transistors By Electron Tomography
- Author
-
P. D. Cherns, F. Lorut, S. Beçu, C. Dupré, K. Tachi, D. Cooper, A. Chabli, T. Ernst, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,business.industry ,Transistor ,Nanowire ,Wedge (geometry) ,Focused ion beam ,Dark field microscopy ,law.invention ,Optics ,Tilt (optics) ,Electron tomography ,law ,Tomography ,business - Abstract
Gate‐all‐around (GAA) SiGe nanowire transistor structures have been studied using high angle annular dark field (HAADF) STEM tomography. Sample preparation has been optimized by isolating single devices in needle‐shaped specimens, using annular milling in the focused ion beam (FIB). Using this technique, images can be acquired over a tilt range up to +/−80°. Two dimensional simulations are used lo establish the optimum orientation for devices in this sample geometry. Tomograms are presented, and the impact of the “missing wedge” on the reconstruction is assessed, again with reference to two dimensional simulations.
- Published
- 2009
23. NIST High Resolution X-Ray Diffraction Standard Reference Material: SRM 2000
- Author
-
Donald Windover, David L. Gil, Albert Henins, James P. Cline, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Diffraction ,Materials science ,business.industry ,Instrumentation ,law.invention ,Reciprocal lattice ,Optics ,law ,Goniometer ,Calibration ,NIST ,Wafer ,business ,Monochromator - Abstract
NIST recently released a standard reference material (SRM) for the calibration of high resolution X‐ray diffraction (HRXRD) instruments. HRXRD is extensively used in the characterization of lattice distortion in thin single, epitaxial crystal layers on single‐crystal wafer substrates. Currently, there is a great need for improved accuracy and transferability for the measurement of strain fields in these epitaxial thin films. This implies an essential need for the calibration of HRXRD instruments to allow measurement intercomparison for both research and manufacturing communities. This first HRXRD SRM release provides certified measurements of diffraction features for a silicon reference substrate, Si (220) in transmission and Si (004) in reflection, allowing for calibration of either monochromator wavelength or goniometer angles. The SRM also provides information on the surface‐to‐crystal‐plane misalignment, which allows calibration of sample holders and sample alignment hardware. This calibration should reduce the uncertainties when comparing, for instance, reciprocal space maps. Here we present a detailed description of these measured values and provide methods for using these to calibrate HRXRD instrumentation. SRM 2000 provides the semiconductor and the larger nanoscience community with the first nanometer length‐scale reference standard with femtometer accuracy; the Si (220) transmission‐feature‐derived silicon lattice spacing, dSRM, has a value of 0.1920161 nm with an expanded uncertainty, U (dSRM), of 0.87 fm.
- Published
- 2009
24. Variable Temperature Measurements in Cryogenic Probe Stations
- Author
-
Jeffrey Lindemuth, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Lift (force) ,Materials science ,Optics ,business.industry ,Scanning electron microscope ,Thermal conduction ,business ,Temperature measurement ,Thermal expansion - Abstract
Electrical property measurements of nanoscale materials are important for characterization and understanding of materials and devices. Equally important is to measure these properties at various temperatures. These measurements are facilitated with cryogenic probe stations that provide a variable temperature environment over a wide range of temperatures. However, until this time a major inconvenience was caused by the thermal expansion of the probe tips and probe station as the temperature changed. To prevent the tip movement from damaging the sample, the normal procedure is to lift the probe tips as the temperature changes. This prevents the implementation of totally automated variable temperature measurements.
- Published
- 2009
25. Interference Microscopy For Semiconductor Back End Patterning Metrology
- Author
-
Xavier Colonna de Lega, Martin Fay, Ryan Kruse, David Grigg, Michael Darwin, Matthew Knowles, John Barnak, Maruko Wu, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Semiconductor device ,Overlay ,Interference microscopy ,Metrology ,Optics ,Semiconductor ,chemistry ,Dimensional metrology ,Process control ,business - Abstract
Interference microscopy satisfies many of the dimensional metrology requirements for semiconductor device interconnects manufacturing by providing 3D topography maps of patterned structures with sub‐nm vertical reproducibility. Analysis of topography maps and intensity images with pattern recognition software extends the metrology capability for CD, overlay and registration. This functionality is used in a flexible, multi‐purpose, inline process control tool for pre‐ and post‐metallization metrology on BEOL via and bump layers. Through Silicon Vias is another promising field of application, as demonstrated by the strong correlation of optical profiler results to cross‐section SEM reference metrology.
- Published
- 2009
26. Polarized Optical Scattering Measurements of Metallic Nanoparticles on a Thin Film Silicon Wafer
- Author
-
Cheng-Yang Liu, Tze-An Liu, Wei-En Fu, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,business.industry ,Scattering ,Physics::Optics ,Nanoparticle ,Light scattering ,Optics ,Goniometer ,Optoelectronics ,Wafer ,Mueller calculus ,Bidirectional reflectance distribution function ,Thin film ,business - Abstract
Light scattering has shown its powerful diagnostic capability to characterize optical quality surfaces. In this study, the theory of bidirectional reflectance distribution function (BRDF) was used to analyze the metallic nanoparticles’ sizes on wafer surfaces. The BRDF of a surface is defined as the angular distribution of radiance scattered by the surface normalized by the irradiance incident on the surface. A goniometric optical scatter instrument has been developed to perform the BRDF measurements on polarized light scattering on wafer surfaces for the diameter and distribution measurements of metallic nanoparticles. The designed optical scatter instrument is capable of distinguishing various types of optical scattering characteristics, which are corresponding to the diameters of the metallic nanoparticles, near surfaces by using the Mueller matrix calculation. The metallic nanoparticle diameter of measurement is 60 nm on 2 inch thin film wafers. These measurement results demonstrate that the polarization of light scattered by metallic particles can be used to determine the size of metallic nanoparticles on silicon wafers.
- Published
- 2009
27. Modeling artifacts in the analysis of test semiconductor structures in atom probe tomography
- Author
-
F. Vurpillot, M. Gruber, S. Duguay, E. Cadel, B. Deconihout, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, Alain C. Diebold, Groupe de physique des matériaux (GPM), Université de Rouen Normandie (UNIROUEN), Normandie Université (NU)-Normandie Université (NU)-Institut national des sciences appliquées Rouen Normandie (INSA Rouen Normandie), Institut National des Sciences Appliquées (INSA)-Normandie Université (NU)-Institut National des Sciences Appliquées (INSA)-Centre National de la Recherche Scientifique (CNRS)-Institut de Recherche sur les Matériaux Avancés (IRMA), Université de Caen Normandie (UNICAEN), Normandie Université (NU)-Normandie Université (NU)-École Nationale Supérieure d'Ingénieurs de Caen (ENSICAEN), Normandie Université (NU)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université de Rouen Normandie (UNIROUEN), Normandie Université (NU)-Institut national des sciences appliquées Rouen Normandie (INSA Rouen Normandie), Institut National des Sciences Appliquées (INSA)-Normandie Université (NU)-Institut National des Sciences Appliquées (INSA)-Centre National de la Recherche Scientifique (CNRS)-Université de Caen Normandie (UNICAEN), Normandie Université (NU)-École Nationale Supérieure d'Ingénieurs de Caen (ENSICAEN), Normandie Université (NU)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS), Institut d'Electronique du Solide et des Systèmes (InESS), Université Louis Pasteur - Strasbourg I-Centre National de la Recherche Scientifique (CNRS), Centre National de la Recherche Scientifique (CNRS)-Institut national des sciences appliquées Rouen Normandie (INSA Rouen Normandie), Institut National des Sciences Appliquées (INSA)-Normandie Université (NU)-Institut National des Sciences Appliquées (INSA)-Normandie Université (NU)-Université de Rouen Normandie (UNIROUEN), and Normandie Université (NU)
- Subjects
Materials science ,Atom probe ,Silicon ,chemistry.chemical_element ,02 engineering and technology ,Iterative reconstruction ,01 natural sciences ,law.invention ,Optics ,law ,0103 physical sciences ,Image resolution ,Boron ,010302 applied physics ,[PHYS]Physics [physics] ,Depth resolution ,business.industry ,Doping ,Resolution (electron density) ,021001 nanoscience & nanotechnology ,Full width at half maximum ,chemistry ,Depth profiling ,Tomography ,0210 nano-technology ,business ,SIMS - Abstract
International audience; In this paper, the investigation of boron delta layers by atom probe tomography is used to demonstrate that a sub nanometer resolution (0.9 nm full-width at half-maximum, FWHM) can be achieved. This resolution is surprisingly lower than the intrinsic resolution observed in silicon (0.2 nm). Reconstruction artifacts are suggested. In this paper, the extent of reconstruction artifacts is evaluated using a model that reproduces the field evaporation of the sample and the image reconstruction. It is shown that reconstruction artifacts can only account for half of the resolution degradation, suggesting an actual physical depth of delta doped B layer of about 0.5 nm.
- Published
- 2009
28. Spectroscopic Polarimetry of Light scattered by Surface Roughness and Textured Films in Nanotechnologies
- Author
-
F. Ferrieu, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Wavelength ,Optics ,Materials science ,business.industry ,Surface roughness ,Polarimetry ,Optoelectronics ,Neutron reflectometry ,Surface finish ,Thin film ,Grating ,business ,Light scattering - Abstract
The Effective Medium Approximation, (EMA), theory validate the thin films optical metrology in most cases when considering surface roughness. A scaling condition exist between the light wavelengths as compared to thin films roughness. In earlier papers, D. Ramsey and later P. I. Rovira and R. W. Collins, S. F. Nee, had shown however that poly crystaline and textured films could induce light scattering, affecting deeply the SE results. Exhaustives studies in the literature, detail the Mueller matrices properties through optical entropy and depolarization. It has been applied in rather different fields. The mathematical basis, describing depolarizing systems, developped by S. R. Cloude, are an important issue. In the visible range optics, complementary applications exist for thin grating films, surface scatterometry and biological turbid media The optical entropy provides a very powerful analysis technique yielding important surface parameters such as depolarization and roughness, differentiating roughness ...
- Published
- 2009
29. Towards synchrotron-based nanocharacterization
- Author
-
Pierre Bleuet, Lucile Arnaud, Xavier Biquard, Peter Cloetens, Lise Doyen, Patrice Gergaud, Patrick Lamontagne, Maylis Lavayssière, Jean-Sébastien Micha, Olivier Renault, François Rieutord, Jean Susini, Olivier Ulrich, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Diffraction ,Materials science ,business.industry ,Resolution (electron density) ,Synchrotron radiation ,X-ray fluorescence ,Electron spectroscopy ,Synchrotron ,law.invention ,Optics ,X-ray photoelectron spectroscopy ,law ,Tomography ,business - Abstract
The advent of 3rd generation synchrotron sources coupled with high efficiency x‐ray focusing optics opened new nanocharacterization possibilities. This paper is an overview of synchrotron‐based techniques that may be of interest for nanotechnology researchers. Although not exhaustive, it includes a general background of synchrotron principle and main x‐ray interactions before addressing nanoimaging possibilities. Three‐dimensional (3D) hard x‐ray multimodal tomography is now doable that allows producing 3D morphological, chemical and crystalline images with a sub‐100 nm resolution. Although the resolution is still limited with respect to electron imaging, it presents attractive features like depth resolution and non‐destructive exam. Besides imaging, diffraction also allows strain determination within microstructures and is illustrated here on 100 nm copper lines. Surface analysis is illustrated through X‐ray Photoelectron Emission Microscopy (XPEEM).
- Published
- 2009
30. Understanding Imaging and Metrology with the Helium Ion Microscope
- Author
-
Michael T. Postek, András E. Vladár, Bin Ming, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Microscope ,Nanometrology ,Materials science ,law ,Microscopy ,Nanotechnology ,Scanning tunneling microscope ,Focused ion beam ,Scanning helium ion microscope ,law.invention ,Metrology ,Characterization (materials science) - Abstract
One barrier to innovation confronting all phases of nanotechnology is the lack of accurate metrology for the characterization of nanomaterials. Ultra‐high resolution microscopy is a key technology needed to achieve this goal. But, current microscope technology is being pushed to its limits. The scanning and transmission electron microscopes have incrementally improved in performance and other scanned probe technologies such as atomic force microscopy, scanning tunneling microscopy and focused ion beam microscopes have all been applied to nanotechnology with various levels of success. A relatively new tool for nanotechnology is the scanning helium ion microscope (HIM). The HIM is a new complementary imaging and metrology technology for nanotechnology which may be able to push the current resolution barrier lower. But, successful imaging and metrology with this instrument entails new ion beam/specimen interaction physics which must be fully understood. As a new methodology, HIM is beginning to show promise and the abundance of potentially advantageous applications for nanotechnology have yet to be fully exploited. This presentation will discuss some of the progress made at NIST in understanding the science behind this new technique.
- Published
- 2009
31. Towards Routine Backside SIMS Sample Preparation for Efficient Support of Advanced IC Process Development
- Author
-
M. J. P. Hopstaken, C. Cabral, D. Pfeiffer, C. Molella, P. Ronsheim, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Process development ,business.industry ,Analytical chemistry ,Polishing ,Secondary ion mass spectrometry ,chemistry.chemical_compound ,chemistry ,Etching (microfabrication) ,Silicide ,Optoelectronics ,Sample preparation ,Dry etching ,business ,Metal gate - Abstract
Backside Secondary Ion Mass Spectrometry (SIMS) profiling is a seemingly simple option to circumvent commonly observed depth resolution degradation in conventional front‐side SIMS. However, large practical barriers in backside sample preparation prohibit a wider and more routine use of backside SIMS. Here, we explore the use of XeF2 dry etching instead of wet etching for removal of the residual Si‐substrate. The former process is essentially isotropic with similar etch rates for the different crystallographic orientations and highly selective towards the dense thermal oxide (BOX). This eliminates the need for high‐precision polishing of individual samples, reducing the substrate removal to a few coarse and relatively rapid polishing steps only. Moreover, XeF2 etching can be performed in unattended fashion and simultaneously on multiple samples, greatly increasing volume and turn‐around time for backside sample preparation. Here we have explained the different practical aspects and demonstrated the feasibility of this novel approach for backside preparation for different front‐end (S/D contact silicide metal, high‐k metal gate) and back‐end (ECD‐Copper) of line applications. In conclusion, availability of a robust and reliable procedure for backside SIMS sample preparation with rapid turn‐around is highly beneficial for a more efficient analytical support of advanced IC process development.
- Published
- 2009
32. The Effect of Surface Conditioning on Silicon Wafer Resistivity Monitoring
- Author
-
E. Tsidilkovski, A. Bertuch, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Silicon ,business.industry ,Analytical chemistry ,chemistry.chemical_element ,Microstructure ,chemistry ,Electrical resistivity and conductivity ,Optoelectronics ,Deposition (phase transition) ,Conditioning ,Wafer ,business ,Photoacoustic spectroscopy ,Voltage - Abstract
The importance of adequate surface conditioning of silicon wafers for accurate and reproducible measurement of silicon electronic properties is discussed. The measurement results obtained with ac Surface Photo Voltage technique, following UV‐assisted oxidation and corona charge deposition are presented. The measurement requirements and mechanisms for the presented surface conditioning method are addressed. Improved measurement repeatability and uniformity across the wafer is demonstrated.
- Published
- 2009
33. Multi-technique characterization of arsenic ultra shallow junctions in silicon within the ANNA consortium
- Author
-
D. Giubertoni, G. Pepponi, B. Beckhoff, P. Hoenicke, S. Gennaro, F. Meirer, D. Ingerle, G. Steinhauser, M. Fried, P. Petrik, A. Parisini, M. A. Reading, C. Streli, J. A. van den Berg, M. Bersani, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Silicon ,Dopant ,business.industry ,chemistry.chemical_element ,Synchrotron radiation ,Dark field microscopy ,Characterization (materials science) ,Secondary ion mass spectrometry ,Optics ,chemistry ,Ellipsometry ,Scanning transmission electron microscopy ,business - Abstract
The use of ultra shallow distributions of dopant in silicon to realize source and drain extensions in CMOS devices requires the development of analytical techniques able to provide their quantitative characterization. Information like retained dopant fluence, depth distribution and damage evolution are of fundamental importance to tailor the ultra shallow p/n junctions. In this work a summary of a complementary approach developed within an European multi‐laboratories consortium (ANNA) is reported. Results obtained with several techniques on arsenic ultra low energy (0.5–5 keV) implants in Si are described. The employed techniques were secondary ion mass spectrometry, grazing incidence x‐ray fluorescence (with either conventional or synchrotron radiation excitation), neutron activation analysis, medium energy ion scattering, Z‐contrast annular dark field scanning transmission electron microscopy and spectroscopic ellipsometry. The cross comparisons of dose measurements, dopant distribution and damage build‐up behavior enabled a detailed characterization of the implanted samples and identified the overlap of information from each analytical techniques.
- Published
- 2009
34. Application Of Statistical Dynamical X-ray Diffraction Theory To Defective Semiconductor Heterostructures
- Author
-
P. K. Shreeman, R. J. Matyi, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Diffraction ,Semiconductor ,Materials science ,Optics ,Ion implantation ,Perfect crystal ,business.industry ,Scattering ,X-ray crystallography ,business ,Single crystal ,Crystallographic defect ,Computational physics - Abstract
Dynamical X‐ray diffraction theory is extensively used to evaluate the structure of single crystal materials, where information on composition and strain can be extracted from high resolution rocking curves. Many critical materials and structures (lattice‐mismatched semiconductor heterostructures, or materials modified by ion implantation processing) may contain process‐induced structural defects and cannot be modeled by conventional perfect crystal dynamical theory approaches. Statistical dynamical diffraction theory (SDDT) incorporates both incoherent (kinematical and diffuse) and coherent (dynamical) scattering. Treatments of the SDDT theory are typically mathematically intensive while lacking instructions on how to actually implement the theory in practice. This paper discusses the implementation of the SDDT and modifications that allow for successful SDDT analyses of fully relaxed SiGe on Si.
- Published
- 2009
35. Reference-free Characterization Of Semiconductor Surface Contamination And Nanolayers By X-Ray Spectrometry
- Author
-
B. Beckhoff, R. Fliegauf, P. Hönicke, M. Kolbe, M. Müller, B. Pollakowski, F. Reinhardt, J. Weser, G. Ulm, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Total internal reflection ,X-ray spectroscopy ,Chemical state ,Optics ,Materials science ,Semiconductor ,business.industry ,Total external reflection ,Wafer ,Photon energy ,business ,Characterization (materials science) - Abstract
X‐Ray Spectrometry (XRS) is a wide spread technique for revealing reliable information concerning the elemental composition and binding state in various materials. Reference‐free quantitation in x‐ray spectrometry is based on the knowledge of both the instrumental and fundamental atomic parameters. In different configurations, both matrix and trace constituents of a sample or layer thicknesses can be determined, even providing lateral or depth‐profiling elemental information. With respect to very flat samples, such as semiconductor wafers or structures, the photon energy and the angle of incidence of the exciting radiation determines the probing depth of XRS analysis. In total‐reflection geometry, i.e. having an angle of incidence smaller than the critical angle of total external reflection, only surface contamination and the surface‐near layer of a few nm contributes to the fluorescence spectra. Allowing the angle of incidence to be varied from close to zero up to about four times the critical angle of total external reflection, the probing depth ranges from a few up to several hundreds of nm. The methodological development of XRS at the Physikalisch‐Technische Bundesanstalt (PTB), Germany’s national metrology institute, is, among other issues, dedicated to high‐end investigations in the R&D of semiconductor samples requiring reference‐free methods, in particular for new materials where not enough appropriate reference materials are available. PTB can handle 200 mm and 300 mm silicon wafers, employing an EFEM module, as well as smaller semiconductor wafers in its XRS instrumentation. The use of undulator radiation in the PTB laboratory at BESSY is advantageous for off‐line contamination control on semiconductor surfaces as it provides very high photon fluxes for the efficient excitation of light elements. Optimizing the respective excitation conditions such as the angle of incidence and the incident photon energy, detection limits of light elements in the fg range can be achieved. Grazing incidence investigations demonstrated the capability for depth profiling of light elements in nanolayers. Reference‐free XRS has the potential to contribute to the thickness and composition analysis of nearly vertical sidewalls of semiconductor test structures. This technique is also able to contribute to the elemental depth‐profiling of ultra‐shallow junctions (USJ), i.e. near‐surface implantation profiles in wafers, as a complementary approach to other analytical techniques. At a given incident angle, XRS can be combined with x‐ray absorption spectroscopy (NEXAFS, XANES or EXAFS), revealing information on the depth profile of the chemical structure in a sample, e.g. about buried nanolayers or interfaces with varying chemical state.
- Published
- 2009
36. Vacuum-Ultraviolet Reflectometry of Ultra-thin HfO[sub 2] Films
- Author
-
Jeffrey Hurst, Victor Vartanian, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Vacuum ultraviolet ,X-ray reflectivity ,Materials science ,Analytical chemistry ,Repeatability ,Blanket ,Composite material ,Reflectometry ,Refractometry ,Process conditions ,Metrology - Abstract
Blanket HfO2 layers, deposited with 2 to 40 ALD cycles, were measured using VUV‐SR. The measured HfO2 thickness was compared to both XRR and process conditions. A linear correlation coefficient, R2, of 0.9977 to the number of ALD HfO2 cycles demonstrated sensitivity for the thickness range studied, 1.5 to 37 A, while the mean repeatability for thickness measurements (1−σ) was 0.05 A.
- Published
- 2009
37. Evaluation of experimental techniques for In-line Ion Implantation Characterization
- Author
-
L. Vignoud, F. Milesi, E. Nolot, A. Danel, S. Favier, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Post annealing ,Ion implantation ,Materials science ,Dopant ,business.industry ,Electronic engineering ,Optoelectronics ,business ,p–n junction ,Line (electrical engineering) ,Metrology ,Characterization (materials science) - Abstract
This work presents an as exhaustive as possible review of methods which can be applied for in‐line monitoring of implant processes. The dynamic of each technique was evaluated for several typical applications. This review shows that methods which are sensitive to electrically active dopants with the presence of a PN junction (post annealing metrology only) are quite well correlated and show a 1 to 1 dynamic. Indirect methods which can be used either pre or/and post anneal are more case to case metrologies with dynamics changing with the characteristics of implant.
- Published
- 2009
38. Advanced Gate and Stack Dielectric Characterization with FastGate® Technology
- Author
-
Robert J. Hillard, Louison C. Tan, Kimberly G. Reid, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,business.industry ,Capacitive sensing ,Electrical engineering ,Equivalent oxide thickness ,Dielectric ,law.invention ,Capacitor ,law ,MOSFET ,Optoelectronics ,Wafer ,SILC ,business ,Leakage (electronics) - Abstract
In this paper a non‐damaging and non‐contaminating method for performing Capacitance‐Voltage (CV) and Current‐Voltage (IV) electrical characterization of advanced gate dielectrics and stack capacitor films is presented. The method uses a contacting Elastic Material Probe (EM‐Probe) that is made of a semiconductor compatible material and forms a gate contact diameter of about 30 to 50 microns. Key electrical parameters that are measured are, Capacitive Effective Thickness (CET), Equivalent Oxide Thickness (EOT), Interface Trap Density (Dit), delta VFB Hysteresis (ΔVFB), leakage current density (JLK), Field‐to‐breakdown (FBD), Charge‐to‐breakdown (QBD) and Stress Induced Leakage Current (SILC). Measurements can be made on either blanket or in scribe line test areas in patterned wafers.
- Published
- 2009
39. Aberration-corrected Electron Microscopy Imaging for Nanoelectronics Applications
- Author
-
C. Kisielowski, P. Specht, D. Alloyeau, R. Erni, Q. Ramasse, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Conventional transmission electron microscope ,Materials science ,Optics ,Electron tomography ,business.industry ,Resolution (electron density) ,Scanning transmission electron microscopy ,Scanning confocal electron microscopy ,Energy filtered transmission electron microscopy ,business ,High-resolution transmission electron microscopy ,Dark field microscopy - Abstract
This paper addresses advances in electron microscopy that were accomplished over the past years with the incorporation of new electron optical components such as aberration correctors, monochromators or high brightness guns. Many of these developments are currently pursued within the DoE’s TEAM project. As a result electron microscopy has reached 50 pm resolution. In this paper it is shown how the resolution improvement has helped to boost signal to noise ratios enabling a detection of single atoms across the Periodic Table of Elements. The described achievements allow for investigations of single point defects in nanoelectronic devices even if printed on single sheets of carbon atoms (graphene). Further it is now possible to access depth information from single projections with a precision that has reached interatomic distances.
- Published
- 2009
40. A Novel Wafer-plane Dosimeter for EUV Lithography
- Author
-
Steven Grantham, Charles Tarrio, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Optics ,Dosimeter ,Materials science ,Resist ,business.industry ,Extreme ultraviolet lithography ,Optoelectronics ,X-ray lithography ,Stepper ,Photoresist ,business ,Lithography ,Next-generation lithography - Abstract
Extreme Ultraviolet Lithography (EUVL) incorporates 13.5 nm light for patterning wafers and requires in‐situ wafer‐plane dosimetry that can be tailored to the requirements of an EUVL stepper’s environment. There are several types of detectors that are sensitive to EUV radiation including photodiodes, CCDs, and pyroelectrics to name a few. All of these require electrical connections and can be intrusive in a stepper’s projection optics box and will deteriorate with time causing changes to their calibration. The community has settled on using photoresist as a dosimeter in the wafer‐plane because it is a convenient non‐intrusive way to measure the dose in the wafer plane and it has very little overhead in the fab environment. However, recent experiments have found that the accepted values for the dose‐to‐clear for resists may not be accurate. In addition, dosimetry using a photoresist can be dependent on fab conditions and cause uncertainty due to varying process conditions.NIST has recently begun working on...
- Published
- 2009
41. Spectroscopic Scatterfield Microscopy
- Author
-
B. M. Barnes, N. A. Heckert, R. Quintanilha, H. Zhou, R. M. Silver, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Microscope ,Materials science ,Aperture ,business.industry ,law.invention ,Characterization (materials science) ,Metrology ,Lens (optics) ,Optics ,Cardinal point ,law ,Angle of incidence (optics) ,Microscopy ,business - Abstract
Scatterfield microscopy is a technique that combines the best attributes of scatterometry and high‐magnification imaging using a bright‐field microscope. In our work, we have traditionally moved an aperture in a conjugate back focal plane (CBFP) of the objective lens to control the angle of incidence. We have incorporated a new source into an existing microscope in order to scan the illumination wavelength, which has necessitated a new set of tool characterization requirements. We present experimental data and preliminary quantitative modeling results for metrology of sub‐wavelength features using this new method of illumination engineering.
- Published
- 2009
42. Electrical Measurements By Scanning Spreading Resistance Microscopy: Application To Carbon Nanofibers And Si Nanowires
- Author
-
N. Chevalier, D. Mariolle, L. Fourdrinier, C. Celle, C. Mouchet, S. Poncet, J. P. Simonato, H. Le Poche, E. Rouviere, F. Bertin, A. Chabli, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
inorganic chemicals ,Materials science ,Silicon ,Spreading resistance profiling ,business.industry ,Carbon nanofiber ,Doping ,technology, industry, and agriculture ,Nanowire ,chemistry.chemical_element ,Nanotechnology ,Conductive atomic force microscopy ,Electrical contacts ,chemistry ,Optoelectronics ,Electrical measurements ,business - Abstract
We report the electrical characterization of vertically aligned nano‐objects by Scanning Spreading Resistance Microscopy (SSRM). In this paper, we show that this method is well suited to evaluate the individual electrical properties of carbon nanofibers and of n‐doped silicon nanowires. In the first example, carbon nanofibers exhibit a wide range of resistance which could be explained by the convolution of roughness and of surface oxidation of the TiN layer. An interpretation based on electrical contact resistance model is proposed here to explain this observation. In the second example, n‐doped Si NWs exhibit various range of resistance depending of the Phosphorus/Silicon ratio, which highlighted the control of the doping level.
- Published
- 2009
43. Spectroscopic Ellipsometry Characterization of High-k films on SiO[sub 2]∕Si
- Author
-
Ming Di, Eric Bersch, Steven Consiglio, Tianhao Zhang, Parul Tyagi, Robert D. Clark, Gert J. Leusink, Arun Srivatsa, Alain C. Diebold, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, and Robert McDonald
- Subjects
chemistry.chemical_compound ,Wavelength ,Ion implantation ,Materials science ,chemistry ,Photoemission spectroscopy ,Annealing (metallurgy) ,Analytical chemistry ,Spectroscopic ellipsometry ,chemistry.chemical_element ,Silicate ,High-κ dielectric ,Hafnium - Abstract
Spectroscopic ellipsometry (SE) with VUV wavelength region has been used to characterize high‐k films grown on SiO2/Si. The high‐k stack thickness measurements by SE are compared to thickness measurements derived from angle resolved x‐ray photoemission spectroscopy. The optical properties of hafnium silicate change with silicate concentration, which is the mechanism for SE to measure this quantity. Other factors that affect high‐k optical properties such as N concentration and annealing are also investigated.
- Published
- 2009
44. Helium Ion Beam Microscopy for Copper Grain Identification in BEOL Structures
- Author
-
Ruud J. J. van den Boom, Hamed Parvaneh, Dave Voci, Chuong Huynh, Lewis Stern, Kathleen A. Dunn, Eric Lifshin, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Beam diameter ,Optics ,Materials science ,Ion beam ,Scanning electron microscope ,business.industry ,Microscopy ,business ,Image resolution ,Focused ion beam ,Noise (electronics) ,Field ion microscope - Abstract
Grain size determination in advanced metallization structures requires a technique with resolution ∼2 nm, with a high signal‐to‐noise ratio and high orientation‐dependant contrast for unambiguous identification of grain boundaries. Ideally, such a technique would also be capable of high‐throughput and rapid time‐to‐knowledge. The Helium Ion Microscope (HIM) offers one possibility for achieving these aims in a single platform. This article compares the performance of the HIM with Focused Ion Beam, Scanning Electron and Transmission Electron Microscopes, in terms of achievable image resolution and contrast, using plan‐view and cross‐sectional imaging of electroplated samples. Although the HIM is capable of sub‐nanometer beam diameter, the low signal‐to‐noise ratio in the images necessitates signal averaging, which degrades the measured image resolution to 6–8 nm. Strategies for improving S/N are discussed in light of the trade‐off between beam current and probe size, accelerating voltage, and dwell time.
- Published
- 2009
45. Application of Micro-thermal Analysis for Metal, Oxide, and Non-oxide Thin Film Materials
- Author
-
Nathan Carlie, Jonathan Massera, Laeticia Petit, Kathleen Richardson, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
chemistry.chemical_compound ,Thermal conductivity ,Materials science ,chemistry ,Thermal ,Analytical chemistry ,Oxide ,Chalcogenide glass ,Dielectric ,Thin film ,Composite material ,Thermal analysis ,Thermal conduction - Abstract
In this paper, we present the use of the micro‐thermal analyzer (TA Instruments model μTA‐2990) to detect lithographically‐defined surface and sub‐surface metal and dielectric features in silica and chalcogenide glass films. The imaging resolution of the technique was determined to be ±1 μm laterally for surface features, and up to 1μm for features located below the surface. We demonstrate that this instrument is also an effective technique for the detection of Au, Ag, and Cu nanoparticles within sol‐gel derived silica films. Lastly, we show that the micro‐thermal analyzer can also be used to measure thermal properties of bulk and film glassy materials, including thermal conductivity and probe penetration temperature.
- Published
- 2009
46. Contact Resistance Studies of Metal on HOPG and Graphene Stacks
- Author
-
Archana Venugopal, Adam Pirkle, Robert M. Wallace, Luigi Colombo, Eric M. Vogel, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Graphene ,business.industry ,Contact resistance ,Nanotechnology ,law.invention ,Characterization (materials science) ,Metal ,Highly oriented pyrolytic graphite ,Stack (abstract data type) ,X-ray photoelectron spectroscopy ,law ,visual_art ,visual_art.visual_art_medium ,Optoelectronics ,Work function ,business - Abstract
Contact resistance is one of the major factors limiting the performance of future nanoelectronic devices. Although there has been significant progress in graphene based devices since 2004[1, 11, 13, 19, 20], there have been few studies of factors such as metal type, metal workfunction and number of layers in the graphene stack on metal/graphene contact resistance. In this work, contact resistance measurements of various metals (Cr, Ni, Pd, Pt) on Highly Oriented Pyrolytic Graphite (HOPG) and graphene (single layered and few layered) were performed. The total resistance is independent of distance indicating a contact resistance dominated system. The contact resistance is observed to be similar for a wide variety of metals although the metal workfunction varies from 4.3 eV to 5.6 eV. Similar measurements were performed for metal on single‐ and multi‐layer graphene. The electrical results are compared with XPS measurements of thin metal on HOPG. Issues regarding the characterization and interpretation of contact resistance for metal‐semimetal systems are discussed.
- Published
- 2009
47. Precession electron diffraction and its utility for structural fingerprinting in the transmission electron microscope
- Author
-
Peter Moeck, Sergei Rouvimov, Stavros Nicolopoulos, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Conventional transmission electron microscope ,Optics ,Materials science ,Nanocrystal ,Electron diffraction ,business.industry ,Transmission electron microscopy ,Nanostructured materials ,Precession electron diffraction ,business ,Molecular physics - Abstract
Precession electron diffraction (PED) in a transmission electron microscope (TEM) is discussed in order to illustrate its utility for structural fingerprinting of nanocrystals. While individual nanocrystals may be fingerprinted structurally from PED spot patterns, ensembles of nanocrystals may be fingerprinted from powder PED ring patterns.
- Published
- 2009
48. Characterization of Nano-Scale Graphene Devices for Thickness and Defect Metrology Using Micro and Nano-Raman Spectroscopy
- Author
-
G. Rao, S. McTaggart, J. U. Lee, R. E. Geer, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Materials science ,Graphene ,Nanotechnology ,law.invention ,Characterization (materials science) ,Metrology ,symbols.namesake ,Nanoelectronics ,law ,Nano ,symbols ,Raman spectroscopy ,Nanoscopic scale ,Graphene nanoribbons - Abstract
Nanoscale metrology (thickness, defectivity) of carbon‐based devices is a substantial challenge due to device dimension scaling. Development of graphene‐based nanoelectronics required solutions for thickness and defect metrology owing to the difficulty of probing the atomic structure of individual graphene sheets. Distinguishing the number of graphene layers for a particular test structure as well as quantifying disorder for local defect characterization is essential to study graphene based devices. Raman spectroscopy has proved to be an appropriate approach in this regard for identifying and distinguishing the number graphene layers in thin (n
- Published
- 2009
49. Spectroscopic Ellipsometry of Porous Low-κ Dielectric Thin Films
- Author
-
V. K. Kamineni, C. M. Settens, A. Grill, G. A. Antonelli, R. J. Matyi, A. C. Diebold, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
X-ray spectroscopy ,Materials science ,Chemical bond ,Infrared ,Volume fraction ,Analytical chemistry ,Infrared spectroscopy ,Porosity ,Absorption (electromagnetic radiation) ,Refractive index - Abstract
Variable angle spectroscopic ellipsometry (VASE) measurements from 30 μm (infrared) to 150 nm (vacuum ultraviolet) were used to measure the thickness, pore volume fraction, gradation in the refractive index and chemical bonding of porous low‐κ films. The VUV spectroscopic ellipsometry measurements were used to determine the pore volume fraction and gradation in the refractive index of the porous low‐κ films. Bruggeman’s effective medium approximation was used to calculate the total pore volume fraction. The IR spectroscopic ellipsometry measurements were used to characterize the chemical bonding of the porous low‐κ samples. Absorption coefficients in the IR wavelength were used to characterize the chemical bonding in the porous low‐κ films.
- Published
- 2009
50. Back Matter for Volume 1173
- Author
-
Erik M. Secula, Dan Herr, C. Michael Garner, Alain C. Diebold, Robert McDonald, David G. Seiler, and Rajinder P. Khosla
- Subjects
Volume (thermodynamics) ,Mechanics ,Geology - Published
- 2009
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.