200 results on '"Liang, Ted"'
Search Results
2. Extreme ultraviolet mask surface cleaning effects on lithography process performance
3. Growth and Printability of Multilayer Phase Defects on EUV Mask Blanks
4. Multi-beam mask writer MBM-3000 for next generation EUV mask production
5. A simulation-based methodology to analyze the impact of edge-length on curvilinear mask accuracy
6. Dense mask registration fingerprint characterization to better understand and mitigate the metrology to device offset
7. Renewing i-line laser mask writers with reduced power consumption and increased productivity
8. Mask optimization approach for wafer LCDU improvement in ArF lithography
9. A study of applying mask process correction to constant width curvilinear SRAFs
10. Improving overlay performance through enhanced stage positioning accuracy
11. Efficient patterning approaches for non-Manhattan layouts by using variable shaped beam systems
12. A formulation of mask optimization into QUBO model for Ising machines
13. Efficient representation of full mask density maps in advanced mask data preparation flows
14. Development of EUV phase shift mask metrology
15. Machine learning assisted effective OPC verification hotspot capture
16. Innovative applications: extending photomask registration tool for critical dimension measurement to achieve high efficiency
17. A study on the imaging characteristics of phase shift mask for EUV technology with novel material
18. An advanced 2D feature transmitted algorithm for mask defect detection
19. PEC-aware MPC for CD quality improvement
20. Optimizing CD-SEM metrology for anamorphic high-NA EUV photomasks
21. EUV APSM mask prospects and challenges
22. Curvilinear OPC mask synthesis flow
23. Improvements on pattern fidelity at high curvature region of curvilinear mask with a novel method of MPC
24. Integration of e-beam mask writer corrections in MPC applications
25. Towards fast ptychography image reconstruction of EUV masks by deep neural networks
26. EUV mask patterning process to enable opaque SRAFs for bright field EUV mask imaging
27. Realizing EUV photomask defectivity qualification by actinic mask review system
28. EUV reticle defectivity: next steps in the EUV scanner and beyond
29. Use of advanced data modeling to introduce and extend mask tools serving mainstream application
30. New EUV mask blank for N3 technology node and beyond
31. Actinic blank inspection for high-NA EUV lithography
32. Multibeam fracture flow based on multigon format input
33. Displacement Talbot lithography process simulation analysis
34. Providing solutions for replacement of legacy tools with advanced features
35. Factors driving merchant photomask growth and shortages
36. Novel method for precise curved EUV mask CD characterization by adopting machine learning
37. Establishing a nanoimprint lithography ecosystem
38. Ion beam deposition for larger form-factor EUV mask blanks
39. Helium ion microscope invasiveness study and novel imaging analysis for semiconductor applications
40. Laser-assisted discharge produced plasma (LDP) EUV source for actinic patterned mask inspection (APMI)
41. A study of rare contamination defects come in a vacuum chamber
42. Precise optical constants: determination and impact on metrology, simulation, and development of EUV masks
43. Single-pass frame generation for multi-layer 3D circuits
44. Bayesian optimization-based estimation of effective reaction radius of chemically amplified resist in acid catalyzed deprotection reaction
45. Research of high-transmission phase-shift mask on critical dimension uniformity in ArF lithography
46. Multibeam mask requirements for advanced EUV patterning
47. Haze classification based on location for COG mask
48. Depth of focus in high-NA EUV lithography: a simulation study
49. The feasibility of alternative blank substrate materials for large-scale FPD mask process and manufacturing
50. Data preparation for digital scanner
Catalog
Books, media, physical & digital resources
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.