165 results on '"Clement Merckling"'
Search Results
52. Trimethylaluminum-based Atomic Layer Deposition of MO2 (M=Zr, Hf): Gate Dielectrics on In0.53Ga0.47As(001) Substrates
- Author
-
Guy Brammertz, Elena Cianci, Alessandro Molle, Silvia Baldovino, Matty Caymax, Marco Fanciulli, Luca Lamagna, Clement Merckling, Alessio Lamperti, Claudia Wiemer, and Sabina Spiga
- Subjects
Atomic layer deposition ,Materials science ,Chemical engineering ,Dielectric - Abstract
As post-Si era for digital device is incipient, In0.53Ga0.47As is good candidate among n-type active channels with high electron mobility but - unlike Si - it lacks a well-established technology for dielectric gating which may bear aggressive device scaling. Here we propose a viable route for the atomic layer deposition (ALD) of high-κ dielectrics taking advantage from the well-known self-cleaning effect of the trimethylaluminum (TMA) precursor on the III-V compound surfaces. In this respect, the incorporation of Al2O3 cycles both as pre-conditioning surface treatment and inside the ALD growth of a MO2 host matrix (M=Zr, Hf) is here investigated. Al:MO2/In0.53Ga0.47As heterojunctions have been scrutinized by in situ spectroscopic ellipsometry and ex situ chemical depth-profiling analysis which validate a good physical quality of the oxide and elucidate the effect of the pre-conditioning cycles at the interface level. The resulting MOS capacitors have been characterized by means of multifrequency capacitance-voltage measurements and conductance analysis therein yielding a permittivity of 19{plus minus}1 both for Al:HfO2 and Al:ZrO2 and similar electrical quality of the interfaces. On the other hand, Al:HfO2 appears to be electrically more robust against leakage and endowed with a lower frequency dispersion in accumulation.
- Published
- 2013
- Full Text
- View/download PDF
53. Heteroepitaxy of III-V Compound Semiconductors on Silicon for Logic Applications: Selective Area Epitaxy in Shallow Trench Isolation Structures vs. Direct Epitaxy Mediated by Strain Relaxed Buffers
- Author
-
Mirco Cantoro, Sijia Jiang, Niamh Waldron, Roger Loo, Johan Dekoster, Marc Heyns, Bastien Douhard, W. Guo, Wilfried Vandervorst, Clement Merckling, Matty Caymax, Hugo Bender, and Alain Moussa
- Subjects
Materials science ,Strain (chemistry) ,Silicon ,business.industry ,chemistry.chemical_element ,Nanotechnology ,Epitaxy ,Template ,chemistry ,Selective area epitaxy ,Shallow trench isolation ,Optoelectronics ,Wafer ,Metalorganic vapour phase epitaxy ,business - Abstract
We report two approaches to integrate high quality III-V templates with low defectivity on Si wafers by epitaxial growth. The first approach is based on blanket, InGaAs-based Strain Relaxed Buffers grown by MOVPE on 200mm Si, and the second on the selective area MOVPE of InP in Shallow Trench Isolation structures patterned on 300mm Si. Both structures are characterized structurally and show the efficient trapping and annihilation of defects propagating from the Si/III-V interface. We believe these two approaches represent viable alternatives towards the realization of CMOS-compatible III-V templates and stacks for high-performance devices monolithically integrated on Si.
- Published
- 2013
- Full Text
- View/download PDF
54. Deep-Level Transient Spectroscopy of MOS Capacitors on GeSn Epitaxial Layers
- Author
-
Eddy Simoen, Federica Gencarelli, Lung-Ku Chu, Clement Merckling, Roger Loo, and Benjamin Vincent
- Subjects
Capacitor ,Deep-level transient spectroscopy ,Materials science ,business.industry ,law ,Optoelectronics ,Epitaxy ,business ,law.invention - Abstract
Deep levels present in MOS capacitors, fabricated on GeSn epitaxial layers on Ge-on-Si substrates have been studied by Deep-Level Transient Spectroscopy (DLTS). The gate dielectric is composed of 9 nm Al2O3 deposited by Molecular Beam Epitaxy (MBE) on two different types of Interfacial Oxide Layers (IOL). It is shown that the density of interface traps (Dit) near the valence band edge is significantly reduced for GeSn epilayers compared with the same gate stack on a Ge cap. At the same time, several deep-level traps in the germanium depletion region have been observed, whereof the origin is discussed.
- Published
- 2013
- Full Text
- View/download PDF
55. Orientation-dependent electro-optical response of BaTiO_3 on SrTiO_3-buffered Si(001) studied via spectroscopic ellipsometry
- Author
-
Dries Van Thourhout, Philippe Absil, Clement Merckling, Antonio Marinelli, Marianna Pantouvaki, Joris Van Campenhout, and Min-Hsiang Mark Hsu
- Subjects
Materials science ,DEVICES ,Silicon ,chemistry.chemical_element ,02 engineering and technology ,FERROELECTRICITY ,01 natural sciences ,Pulsed laser deposition ,Optics ,THIN-FILMS ,NIOBATE CRYSTALS ,0103 physical sciences ,Thin film ,SILICON ,PHOTONICS ,010302 applied physics ,business.industry ,021001 nanoscience & nanotechnology ,Ferroelectricity ,Electronic, Optical and Magnetic Materials ,chemistry ,MODULATORS ,CRYSTALLINE OXIDES ,X-ray crystallography ,Optoelectronics ,Spectroscopic ellipsometry ,Photonics ,0210 nano-technology ,business - Abstract
To design a high performance BaTiO3 (BTO)-integrated Si modulator, understanding how BTO domain orientations influence its electro-optical (EO) properties is crucial. The 100-nm-thick BTO films with c-oriented and a-oriented domains are obtained by exploiting various thickness of SrTiO3 buffer layers grown on Si(001) substrates. Then, the electro-optical behavior for 2 differently oriented samples is analyzed using spectroscopic ellipsometry.
- Published
- 2017
56. Novel Light Source Integration Approaches for Silicon Photonics
- Author
-
Zhechao Wang, Amin Abbasi, Utsav Dave, Andreas De Groote, Sulakshna Kumari, Bernadette Kunert, Clement Merckling, Marianna Pantouvaki, Yuting Shi, Bin Tian, Kasper Van Gasse, Jochem Verbist, Ruijun Wang, Weiqiang Xie, Jing Zhang, Yunpeng Zhu, Johan Bauwelinck, Xin Yin, Zeger Hens, Joris Van Campenhout, Bart Kuyken, Roel Baets, Geert Morthier, Dries Van Thourhout, Gunther Roelkens
- Published
- 2017
- Full Text
- View/download PDF
57. Heterostructure at CMOS source/drain: Contributor or alleviator to the high access resistance problem?
- Author
-
Tarun Agarwal, Liesbeth Witters, S. A. Chew, Jerome Mitard, Kathy Barla, Pierre Eyben, Hao Yu, Niamh Waldron, Aaron Thean, Thomas Chiarella, K. De Meyer, Nadine Collaert, Steven Demuynck, Geoffrey Pourtois, Erik Rosseel, Andriy Hikavyy, Marc Schaekers, Clement Merckling, Naoto Horiguchi, Dan Mocuta, J.-L. Everaert, Stefan Kubicek, Anda Mocuta, and A. Sibaja-Hernandez
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Doping ,chemistry.chemical_element ,Heterojunction ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,01 natural sciences ,Band offset ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Density of states ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business - Abstract
This work investigates the interface resistivity of several heterostructures. Theoretical simulations suggest that, apart from the doping impact, the band offset and the difference in density of states (DOS) increase significantly the heterostructure interface resistivity. This conclusion corresponds well to our experiments that 1) high interface resistances are observed between (high-Ge content) p-SiGe/p-Si, n-InAs/n-Si, and n-InAs/n-Ge; and that 2) a TiSi x /12nm Si:P/n-Ge contact with favorable band alignment between Si:P/n-Ge approaches low effective contact resistivity of 1.4×10−8 Ω cm2, close to a record-low value for n-Ge contacts.
- Published
- 2016
- Full Text
- View/download PDF
58. Vertical devices for future nano-electronic applications
- Author
-
Nadine Collaert, Ts. Ivanov, Clement Merckling, S. Ramesh, Aaron Thean, Philippe Matagne, D. Yakimets, Arturo Sibaja-Hernandez, Ziyang Liu, Anabela Veloso, T. Huynh-Bao, and Niamh Waldron
- Subjects
Materials science ,Nano ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Gate length ,Electronic engineering ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Static random-access memory ,Cmos scaling ,020202 computer hardware & architecture ,Communication channel - Abstract
In this work, we will review the advantages and challenges of vertical devices which are seen as possible candidates to continue CMOS scaling. Different integration schemes will be discussed, also addressing the use of novel channel materials like III-V that could benefit from a vertical architecture to relax both gate length and wire diameter. Next to that, layout efficiency and the benefits of vertical MOSFETs for SRAM will be highlighted.
- Published
- 2016
- Full Text
- View/download PDF
59. III-Y on silicon DFB laser arrays
- Author
-
W. Guo, J. Van Campenhout, Zhechao Wang, D. Van Thourhout, Marianna Pantouvaki, Clement Merckling, Yuting Shi, Bin Tian, and Bernardette Kunert
- Subjects
Distributed feedback laser ,Materials science ,Silicon ,business.industry ,Hybrid silicon laser ,chemistry.chemical_element ,Laser ,Epitaxy ,law.invention ,chemistry ,law ,Optoelectronics ,Photonics ,business ,Tunable laser - Abstract
We will present our work on epitaxially grown III-V on silicon DFB laser arrays, including results of pure InP-based lasers emitting around 900nm and InGaAs-on-InP lasers emitting around 1300nm.
- Published
- 2016
- Full Text
- View/download PDF
60. Scalability of InGaAs gate-all-around FET integrated on 300mm Si platform: Demonstration of channel width down to 7nm and Lg down to 36nm
- Author
-
Guillaume Boccardi, A. Opdebeeck, A. Sibaja Hernandez, Laura Nyns, W. Guo, Kathy Barla, Niamh Waldron, J. Franco, Lieve Teugels, Sonja Sioncke, Clement Merckling, Geert Eneman, A. V-Y. Thean, Farid Sebaai, Bernardette Kunert, F. Tang, Michael Eugene Givens, J. W. Maes, Katia Devriendt, D. H. van Dorp, Nadine Collaert, X. Zhou, Qi Xie, and X. Jiang
- Subjects
010302 applied physics ,Materials science ,business.industry ,Electrical engineering ,02 engineering and technology ,Channel width ,021001 nanoscience & nanotechnology ,01 natural sciences ,0103 physical sciences ,Scalability ,Optoelectronics ,Wafer ,0210 nano-technology ,business - Abstract
We report In 0.53 GaAs-channel gate-all-around FETs with channel width down to 7nm and L g down to 36nm, the smallest dimensions reported to date for IIIV devices fabricated on 300mm Si wafer. Furthermore, we systematically study the device scalability. InGaAs S/D improves the peak g m by 25% compared to InAs S/D. A g m of 1310 µS/µm with an SS sat of 82mV/dec is achieved for an L g =46nm device. At this L g , a record I on above 200µA/µm is obtained at I off of 100nA/µm and V ds =0.5V on a 300mm Si platform.
- Published
- 2016
- Full Text
- View/download PDF
61. Heterogeneous Integration of InP Devices on Silicon
- Author
-
Geert Morthier, Zhechao Wang, Gunther Roelkens, Dries Van Thourhout, Clement Merckling, Marianna Pantouvaki, and Joris Van Campenhout
- Subjects
Distributed feedback laser ,Materials science ,Silicon ,business.industry ,Hybrid silicon laser ,chemistry.chemical_element ,Photodetector ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,law.invention ,010309 optics ,chemistry.chemical_compound ,chemistry ,law ,0103 physical sciences ,Indium phosphide ,Optoelectronics ,Photonics ,0210 nano-technology ,business ,Light-emitting diode - Abstract
In the paper, we review our work on heterogeneous integration of InP photonic devices on silicon. We elaborate on two integration technologies that have been widely explored in the Photonics Research group, i.e. the relatively mature adhesive bonding based integration scheme and a newly demonstrated buffer-less epitaxial growth approach. Based on these techniques, we describe a broad range of photonic devices including mode-locked lasers, high speed directly modulated distributed feedback lasers, electro-absorption modulators, photodetectors, super-luminescent light emitting diodes, etc.
- Published
- 2016
- Full Text
- View/download PDF
62. Density and Capture Cross-Section of Interface Traps in GeSnO2 and GeO2 Grown on Heteroepitaxial GeSn
- Author
-
Thierry Conard, Dennis Lin, Clement Merckling, Somya Gupta, Eddy Simoen, Henk Vrielinck, Yosuke Shimura, Roger Loo, Marc Heyns, Oreste Madia, and Johan Lauwaert
- Subjects
010302 applied physics ,Materials science ,Deep-level transient spectroscopy ,Passivation ,Band gap ,business.industry ,Oxide ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Acceptor ,chemistry.chemical_compound ,Stack (abstract data type) ,chemistry ,0103 physical sciences ,Optoelectronics ,General Materials Science ,Field-effect transistor ,0210 nano-technology ,business - Abstract
An imperative factor in adapting GeSn as the channel material in CMOS technology, is the gate-oxide stack. The performance of GeSn transistors is degraded due to the high density of traps at the oxide-semiconductor interface. Several oxide-gate stacks have been pursued, and a midgap Dit obtained using the ac conductance method, is found in literature. However, a detailed signature of oxide traps like capture cross-section, donor/acceptor behavior and profile in the bandgap, is not yet available. We investigate the transition region between stoichiometric insulators and strained GeSn epitaxially grown on virtual Ge substrates. Al2O3 is used as high-κ oxide and either Ge1-xSnxO2 or GeO2 as interfacial layer oxide. The interface trap density (Dit) profile in the lower half of the bandgap is measured using deep level transient spectroscopy, and the importance of this technique for small bandgap materials like GeSn, is explained. Our results provide evidence for two conclusions. First, an interface traps density of 1.7 × 10(13) cm(-2)eV(-1) close to the valence band edge (Ev + 0.024 eV) and a capture cross-section (σp) of 1.7 × 10(-18) cm(2) is revealed for GeSnO2. These traps are associated with donor states. Second, it is shown that interfacial layer passivation of GeSn using GeO2 reduces the Dit by 1 order of magnitude (2.6 × 10(12) cm(-2)eV(-1)), in comparison to GeSnO2. The results are cross-verified using conductance method and saturation photovoltage technique. The Dit difference is associated with the presence of oxidized (Sn(4+)) and elemental Sn in the interfacial layer oxide.
- Published
- 2016
63. Ge1-xSnxMaterials: Challenges and Applications
- Author
-
Aaron Thean, Arul Kumar, Matty Caymax, Roger Loo, Wilfried Vandervorst, Liesbeth Witters, Benjamin Vincent, Geert Eneman, Marc Heyns, Federica Gencarelli, and Clement Merckling
- Subjects
Electron mobility ,Materials science ,Fabrication ,CMOS ,New materials ,Nanotechnology ,Material system ,Current (fluid) ,Electrical devices ,Engineering physics ,Electronic, Optical and Magnetic Materials ,PMOS logic - Abstract
Si CMOS technology is currently changing with the introduction of strain and new materials (Ge, III/V) to increase carrier mobility and devicesaturationcurrent.Recently,excellentGe-basedpMOSchannel performance has been demonstrated with intrinsic hole mobilities far above the universal Si mobility curve which is reflected in a clear increase in device current. 1‐4 However, while relaxed Ge exhibits significantly higher intrinsic hole mobilities than relaxed Si, it does not out-perform the current “state of the art” uniaxially strained Si pMOS devices. For Ge channel pMOS devices, compressive uni-axial and/or bi-axial strain implementation seems essential to substantially increase carriers velocity and to outperform current Si- and Si1-yGeybased devices, which is confirmed by theoretical calculations of the carrier mobility and device current. 5‐7 Ge1-xSnx offers schemes to implement the required strain types and levels in the active part of the device. Recent breakthroughs in low temperature hetero-epitaxial Ge1-xSnx and SiyGe1-x-ySnx growth on Si substrates as e.g. reported in references 8‐14 have triggered the assessment of this material system for such electrical device applications. In this contribution we discuss the use of Ge1-xSnx for future (electrical) device applications and its fabrication with a special attention on recent achievements from imec.
- Published
- 2012
- Full Text
- View/download PDF
64. Site Selective Integration of III–V Materials on Si for Nanoscale Logic and Photonic Devices
- Author
-
Hugo Bender, Marc Heyns, Clement Merckling, Olivier Richard, Wilfried Vandervorst, Roger Loo, Mohanchand Paladugu, Johan Dekoster, and Matty Caymax
- Subjects
Materials science ,business.industry ,Nucleation ,General Chemistry ,Condensed Matter Physics ,Epitaxy ,Shallow trench isolation ,Trench ,Optoelectronics ,General Materials Science ,Wafer ,Photonics ,business ,Nanoscopic scale ,Deposition (law) - Abstract
Integrating high electron mobility III–V materials on an existing Si based CMOS processing platform is considered as a main stepping stone to increase the CMOS performance and continue the scaling trend. Owing to the polar nature of III–V materials versus the nonpolar nature of Si, antiphase boundaries (APBs) arise in epitaxially grown III–V materials on Si. Here, we demonstrate an approach to restrict the generation of APBs by selectively depositing a III–V material in narrow Si-trenches as formed within the shallow trench isolation (STI) patterned Si(001) wafers. Based on the detailed crystal structures of Si and III–V materials, a concept has been developed comprising the deposition in “v-grooves” with {111} facets in the Si wafer. The grooves are formed by anisotropic wet etching of Si. When InP is deposited selectively into these “v-grooves”, the crystallographic alignment between the Si and InP restricts the APBs nucleation to the corners of the “v-grooved” trench. This approach offers a promising m...
- Published
- 2012
- Full Text
- View/download PDF
65. Integration of InGaAs Channel n-MOS Devices on 200mm Si Wafers Using the Aspect-Ratio-Trapping Technique
- Author
-
Geert Hellings, Aaron Thean, Tommaso Orzali, Clement Merckling, Ngoc Duy Nguyen, Marc Meuris, Geert Eneman, Gang Wang, Matty Caymax, Guy Brammertz, Naoto Horiguchi, Niamh Waldron, G. Winderickx, and Patrick Ong
- Subjects
Materials science ,Aspect ratio ,business.industry ,Electronic engineering ,Optoelectronics ,Wafer ,Trapping ,business ,Communication channel - Abstract
We report on the fabrication on InGaAs/InP implant free quantum well (IFQW) n-MOSFET devices on 200mm wafers in a Si CMOS processing environment. The starting virtual InP substrates were prepared by means of the aspect-ratio-trapping technique. Post CMP these substrate resulted in a planar substrate with a rms roughness of 0.32 nm. After channel and gate processing source drain regions were formed by the selective epitaxial growth of Si doped InGaAs. Contact to the source/drain regions was made by a standard W-plug/metal 1 process. The contact resistance was estimated to be on the order of 7x10-7 Ω.cm2. Fully processed devices clearly showed gate modulation albeit on top of high levels of source to drain leakage. The source of this leakage was determined to be the result of the unintentional background doping of the InP buffer layer. Simulations show that the inclusion of the p-InAlAs between the InP and InGaAs can effectively suppress this leakage. This work is a significant step towards the integration of InGaAs based devices on a standard CMOS platform.
- Published
- 2012
- Full Text
- View/download PDF
66. Adsorption of O2 on Ge(100): Atomic Geometry and Site-Specific Electronic Structure
- Author
-
Kristiaan Temst, André Vantomme, Chris Van Haesendonck, Claudia Fleischmann, Sonja Sioncke, Koen Schouteden, Clement Merckling, and Marc Meuris
- Subjects
Silicon ,chemistry.chemical_element ,Germanium ,Substrate (electronics) ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Crystallography ,General Energy ,Adsorption ,chemistry ,Electron diffraction ,law ,Physical and Theoretical Chemistry ,Scanning tunneling microscope ,Surface reconstruction ,Surface states - Abstract
Germanium is considered to be a potential semiconductor to replace silicon in future high-performance microelectronic devices. Yet, when compared to Si, very little is known about the surface chemistry of Ge surfaces. In this article, we report on the oxygen adsorption on Ge(100)-(2 × 1) surfaces and the related changes in the density of surface states. In particular, the adsorption geometry is examined both in reciprocal- and real-space using reflection high-energy electron diffraction and scanning tunneling microscopy, respectively. Our findings reveal that the insertion of oxygen atoms into the Ge backbonds is not favored under the investigated reaction conditions, i.e., at a moderate O2 exposure. The O2-exposed surface exhibits a local (1 × 1) surface reconstruction, which implies that dimer bonds are destroyed upon oxygen adsorption. Surface states related to Ge dangling surface bonds present on the clean Ge(100) surface are found to be passivated. In addition, a high density of expelled substrate at...
- Published
- 2012
- Full Text
- View/download PDF
67. InGaAs MOS Transistors Fabricated through a Digital-Etch Gate-Recess Process and the Influence of Forming Gas Anneal on Their Electrical Behavior
- Author
-
Marc Meuris, Kristin De Meyer, Marc Heyns, Clement Merckling, Alireza Alian, and Guy Brammertz
- Subjects
Materials science ,law ,business.industry ,Transistor ,Process (computing) ,Optoelectronics ,Forming gas ,business ,Electronic, Optical and Magnetic Materials ,law.invention - Published
- 2012
- Full Text
- View/download PDF
68. Selective Area Growth of InP on On-Axis Si(001) Substrates with Low Antiphase Boundary Formation
- Author
-
Clement Merckling, Roger Loo, Matty Caymax, Niamh Waldron, Pierre Eyben, Olivier Richard, Hugo Bender, Gang Wang, Tommaso Orzali, Maarten Leys, and Wilfried Vandervorst
- Subjects
010302 applied physics ,Materials science ,Renewable Energy, Sustainability and the Environment ,business.industry ,New materials ,02 engineering and technology ,Substrate (printing) ,Integrated circuit ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Semiconductor ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Electrochemistry ,Optoelectronics ,Boundary formation ,0210 nano-technology ,business ,NMOS logic - Abstract
The semiconductor ICT industry continues its neverending pursuit of new approaches for fabricating integrated circuits to reduce device cost and improve device performance. For future device generations, many different approaches are considered, for which the efficient engineering of new materials and architectures are the main challenge to improve device performance. InP is one of these new materials, which is considered to be used as virtual substrate for III-V based nMOS devices.
- Published
- 2012
- Full Text
- View/download PDF
69. (Invited) Selective Area Growth of InP on On-Axis Si(001) Substrates with Low Antiphase Boundary Formation
- Author
-
Hugo Bender, Tommaso Orzali, Roger Loo, Wilfried Vandervorst, Olivier Richard, Matty Caymax, Niamh Waldron, Clement Merckling, Pierre Eyben, Gang Wang, and Maarten Leys
- Subjects
Materials science ,Condensed matter physics ,Boundary formation - Abstract
We discuss the selective epitaxial growth of InP on patterned Si (001) substrates with Shallow Trench Isolation using a thin Ge buffer to facilitate InP nucleation. The main focus is the defect formation mechanism during epitaxial growth and to develop solutions to reduce defect density so that device-quality III-V virtual substrates can be realized on large-scale Si substrates. We compare the InP growth on on-axis and off-axis Si substrates. In the case of off-axis wafers, the formation of stacking faults / twins cannot be avoided, at least not at one of the four STI side-walls. The formation of antiphase domain boundaries is reduced (but not yet completely eliminated) by engineering the local Ge surface profile. Further, the high density of Ge surface steps promotes step-flow growth mode instead of 3D growth during the growth of the InP seed layer. Finally, high aspect ratios (>2) allow to confine threading dislocations in the bottom of the trench.
- Published
- 2011
- Full Text
- View/download PDF
70. Molecular Beam Epitaxial Growth of 6.1 Semiconductors Heterostructures for Advanced p-type Quantum Well Devices
- Author
-
Marc Heyns, Matty Caymax, Alireza Alian, Xiao Sun, Johan Dekoster, Andrea Firrinceli, and Clement Merckling
- Subjects
Materials science ,Semiconductor ,Molecular beam epitaxial growth ,business.industry ,Optoelectronics ,Heterojunction ,business ,Quantum well - Abstract
The integration of high carrier mobility materials into future CMOS generations is presently being studied in order to increase drive current capability and to decrease power consumption in future generation CMOS devices. If III-V materials are the candidates of choice for n-type channel devices, antimonide-based semiconductors present high hole mobility and could be used for p-type channel for quantum well devices. In this work we first investigated building blocks such as passivation and contact resistances on both GaSb and InAs epilayer in order to define the optimal heterostructure for the quantum well device. In a second part, we focused on the growth studies of the complex heterostructure made with "6.1" semiconductors as well as the importance of the interface engineering with TEM. Finally, the properties of the optimized quantum well stack have been studied by means of photoluminescence and high resolution X-ray diffraction.
- Published
- 2011
- Full Text
- View/download PDF
71. Biaxial and Uniaxial Compressive Stress Implemented in Ge(Sn) pMOSFET Channels by Advanced Reduced Pressure Chemical Vapor Deposition Developments
- Author
-
Liesbeth Witters, Clement Merckling, Bastien Douhard, Benjamin Vincent, Roger Loo, Dennis K.J. Lin, Olivier Richard, Matty Caymax, Laura Nyns, Marc Heyns, Hugo Bender, Federica Gencarelli, Alain Moussa, and Wilfried Vandervorst
- Subjects
Materials science ,Compressive strength ,Reduced pressure chemical vapor deposition ,Composite material - Abstract
Three advanced architectures for ultimate progress in Ge p-Metal Oxide Semiconductor Field Effect Transistors are discussed in this paper. Different routes for stress implementations in Ge channels, either biaxial or uniaxial, are proposed by advanced selective Chemical Vapor Deposition techniques. Selective SiGe Strained Relaxed Buffer growth in Shallow Trench Isolation is first discussed to implement biaxial compressive strained Ge Quantum Wells on top of it. Next, innovative GeSn chemical vapor deposition technique is described in order to build either uniaxial strained Ge channel with GeSn Source/Drain stressors or compressively biaxial strained GeSn Quantum Well channels on Ge buffer layers.
- Published
- 2011
- Full Text
- View/download PDF
72. In Situ HCl Etching of InP in Shallow-Trench-Isolated Structures
- Author
-
Hugo Bender, Olivier Richard, Niamh Waldron, Matty Caymax, Tommaso Orzali, Clement Merckling, Wei-E Wang, and Gang Wang
- Subjects
Materials science ,020209 energy ,Nanotechnology ,02 engineering and technology ,Epitaxy ,01 natural sciences ,Stack (abstract data type) ,Etching (microfabrication) ,0103 physical sciences ,Materials Chemistry ,Electrochemistry ,0202 electrical engineering, electronic engineering, information engineering ,Wafer ,Metalorganic vapour phase epitaxy ,Reactive-ion etching ,Deposition (law) ,010302 applied physics ,Renewable Energy, Sustainability and the Environment ,business.industry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,6. Clean water ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Semiconductor ,Trench ,Optoelectronics ,0210 nano-technology ,business - Abstract
CMOS scaling for sub-12 nm nodes will need high-mobility channel semiconductors such as III-V materials to be integrated on large diameter Si substrates. A way to overcome lattice mismatch is to confine defects resulting from strain relaxation on the sidewalls of trenches made by etch-back of Si in standard Shallow-Trench-Isolation (STI) structures. The surface of the InP layers, grown as buffer material in these trenches by selective epitaxy, is planarized by means of CMP, after which it needs to be recessed to allow for the deposition of the III-V channel stack. We have developed an in-situ HCl etching process allowing a close control of the recess depth down to a few nm and leaving a clean and planar InP surface well suited for subsequent III-V epitaxial growth. The process development was carried out in a commercial Aixtron Crius MOCVD reactor on standard SiO2 STI patterned 200 mm Si (001) wafers.
- Published
- 2011
- Full Text
- View/download PDF
73. Defect density reduction of the Al2O3/GaAs(001) interface by using H2S molecular beam passivation
- Author
-
M.M. Heyns, Matty Caymax, Marc Meuris, J. Kwo, Minghwei Hong, Y.C. Chang, J. Penaud, J Dekoster, Chun-An Lu, Marco Scarrozza, Guy Brammertz, Clement Merckling, and Geoffrey Pourtois
- Subjects
Electron mobility ,Reflection high-energy electron diffraction ,Materials science ,Passivation ,Chalcogenide ,Band gap ,Gate dielectric ,Nanotechnology ,02 engineering and technology ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,010302 applied physics ,business.industry ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Capacitor ,chemistry ,CMOS ,Optoelectronics ,0210 nano-technology ,business - Abstract
The integration of higher carrier mobility materials to increase drive current capability in the next CMOS generations is required for device scaling. But a fundamental issue regarding the introduction of high-mobility III–V in CMOS is the electrical passivation of the interface with the high-κ gate dielectric. In this work, we show that in situ H2S surface treatment on GaAs(001) leads to a stable and reorganized oxide/III–V interface. The exposition of the GaAs surface is monitored in situ by RHEED and the interface is characterized by XPS analyses. Finally, MOS capacitors are fabricated to extract interface state density over the band gap. These results highlight a promising re-interest in chalcogenide passivation of III–V surfaces for CMOS applications.
- Published
- 2011
- Full Text
- View/download PDF
74. Band offsets at the (100)GaSb/Al2O3 interface from internal electron photoemission study
- Author
-
Xiao Sun, Valery V. Afanas'ev, Hsing-Yi Chou, Clement Merckling, and Andre Stesmans
- Subjects
X-ray absorption spectroscopy ,Condensed matter physics ,Chemistry ,Band gap ,Photoconductivity ,Electron ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Semimetal ,Band offset ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Direct and indirect band gaps ,Electrical and Electronic Engineering ,Quasi Fermi level - Abstract
From electron internal photoemission and photoconductivity measurements at the (100)GaSb/Al"2O"3 interface, the top of the GaSb valence band is found to be 3.05+/-0.10eV below the bottom of the Al"2O"3 conduction band. This interface band alignment corresponds to conduction and valence band offsets of 2.3+/-0.10eV and 3.05+/-0.15eV, respectively, indicating that the valence band in GaSb lies energetically well above the valence band of In"xGa"1"-"xAs (0=
- Published
- 2011
- Full Text
- View/download PDF
75. H2S molecular beam passivation of Ge(001)
- Author
-
Johan Dekoster, Florence Bellenger, Matty Caymax, M. El-Kazzi, M.M. Heyns, Yu-Cheng Chang, J. Kwo, Clement Merckling, Guy Brammertz, Chun-An Lu, Marc Meuris, Minghwei Hong, and J. Penaud
- Subjects
Materials science ,Reflection high-energy electron diffraction ,Passivation ,business.industry ,Gate dielectric ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,Semiconductor ,Hardware_GENERAL ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Molecular beam ,Molecular beam epitaxy ,High-κ dielectric - Abstract
A fundamental issue regarding the introduction of high-mobility Ge channels in CMOS circuits is the electrical passivation of the interface with the high-k gate dielectric. In this paper, we investigate the passivation of p-Ge(001) using molecular H"2S. The modification of the semiconductor surface is monitored in situ by RHEED and the interface is characterized by XPS analyses. MOS capacitors are fabricated to extract interface state density, and finally we demonstrate the efficiency of the passivation scheme using a combination with an ultra thin Al interlayer.
- Published
- 2011
- Full Text
- View/download PDF
76. Electrical Quality of III-V/Oxide Interfaces: Good Enough for MOSFET Devices
- Author
-
Thomas Hoffmann, Sonja Sioncke, Clement Merckling, Laura Nyns, Matty Caymax, Guy Brammertz, Alireza Alian, Wei-E Wang, and H. C. Lin
- Subjects
chemistry.chemical_compound ,Quality (physics) ,Materials science ,chemistry ,business.industry ,MOSFET ,Oxide ,Electronic engineering ,Optoelectronics ,business - Abstract
We will present the defect density at In0.53Ga0.47As and InP interfaces with ALD Al2O3 derived by use of the conductance method and from simulation of low frequency CV-curves. Consequences of the interface state distribution for MOS transistor device operation will be highlighted through 1-dimensional electrostatic simulations. The simulation results will be compared as much as possible to different state-of-the-art transistor results presented in literature.
- Published
- 2011
- Full Text
- View/download PDF
77. Silicon and selenium implantation and activation in In0.53Ga0.47As under low thermal budget conditions
- Author
-
M.M. Heyns, K. De Meyer, Niamh Waldron, Clement Merckling, Marc Meuris, Wei-E Wang, Geert Hellings, Eddy Simoen, Alireza Alian, H. C. Lin, and Guy Brammertz
- Subjects
010302 applied physics ,Silicon ,Annealing (metallurgy) ,Doping ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Thermal diffusivity ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Secondary ion mass spectrometry ,chemistry ,Transmission electron microscopy ,0103 physical sciences ,Electrical measurements ,Electrical and Electronic Engineering ,0210 nano-technology ,Sheet resistance - Abstract
Si and Se implantations have been systematically investigated in In"0"."5"3Ga"0"."4"7As. Different implant doses and various activation anneals with temperatures up to 700^oC have been examined. Raising Si implant dose from 1x10^1^4 to 1x10^1^5cm^-^2 was found to increase the active doping concentration by about a factor of two. As confirmed by Transmission Electron Microscopy (TEM) and electrical measurements, the rest of the implanted Si ions remain as defects in the crystal and degrade the mobility. It was also confirmed from Secondary Ion Mass Spectrometry (SIMS) that the Si diffusivity in InGaAs is negligible up to 700^oC implant activation anneal making Si a suitable option for the formation of shallow junctions in InGaAs. The activation efficiency, sheet resistance, carrier density and mobility data of 25keV Se and Si implanted InGaAs layers are also presented under various activation anneal temperatures.
- Published
- 2011
- Full Text
- View/download PDF
78. InGaAs Gate-All-Around Nanowire Devices on 300mm Si Substrates
- Author
-
Kathy Barla, Niamh Waldron, Farid Sebaai, Ali Pourghaderi, Patrick Ong, Lieve Teugels, Clement Merckling, Aaron Thean, Sheik Ansar Usman Ibrahim, and Nadine Collaert
- Subjects
Crystallography ,Materials science ,Nanowire ,Nanotechnology ,Electrical and Electronic Engineering ,Electronic, Optical and Magnetic Materials - Abstract
In this letter, we present the first InGaAs gate-all-around (GAA) nanowire devices fabricated on 300mm Si substrates. For an \(L_{\mathrm {\mathbf {G}}}\) of 60 nm an extrinsic \(g_{\mathrm {\mathbf {m}}}\) of \(1030~\mu \) S \(/\mu \) m at \(V_{\mathrm {\mathbf {ds}}} = 0.5\) V is achieved which is a \(1.75\times \) increase compared with the replacement fin FinFet process. This improvement is attributed to the elimination of Mg counterdoping in the GAA flow. Ultrascaled nanowires with diameters of 6 nm were demonstrated to show immunity to \(D_{\mathrm {{it}}}\) resulting in an SS \(_{\mathrm {{SAT}}}\) of 66 mV/decade and negligible drain-induced barrier lowering for 85-nm \(L_{\mathrm {{G}}}\) devices.
- Published
- 2014
- Full Text
- View/download PDF
79. Bandlike and localized states of extended defects in n-type In0.53Ga0.47As
- Author
-
Geert Eneman, Eddy Simoen, Robert Langer, Alireza Alian, Yves Mols, Nadine Collaert, Po-Chun Brent Hsu, Clement Merckling, and Marc Heyns
- Subjects
010302 applied physics ,Materials science ,Deep-level transient spectroscopy ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,01 natural sciences ,Acceptor ,Molecular physics ,Gallium arsenide ,chemistry.chemical_compound ,chemistry ,Vacancy defect ,0103 physical sciences ,Dislocation ,0210 nano-technology ,Indium ,Molecular beam epitaxy - Abstract
© 2018 Author(s). In0.53Ga0.47As p + n diodes with different densities of extended defects have been analyzed by detailed structural and electrical characterization. The defects have been introduced during Metal-Organic Vapor Phase Epitaxy (MOVPE) growth by using a lattice-mismatched layer on a semi-insulating InP or GaAs substrate. The residual strain and indium content in the n-type In0.53Ga0.47As layer have been determined by high-resolution X-ray diffraction, showing nearly zero strain and a fixed indium ratio of 0.53. The deep levels in the layer have been characterized by Deep Level Transient Spectroscopy. The mean value of electron traps at 0.17 ± 0.03 eV below the conduction band minimum EC is assigned to the "localized" states of α 60° misfit dislocations; another broad electron trap with mean activation energies between EC- 0.17 ± 0.01 and 0.39 ± 0.04 eV, is identified as threading dislocation segments with "band-like" states. A high variation of the pre-exponential factor KT by 7 orders of magnitude is found for the latter when changing the filling pulse time, which can be explained by the coexistence of acceptor-like and donor-like states in the core of split dislocations in III-V materials. Furthermore, two hole traps at EV+ 0.42 ± 0.01 and EV+ 0.26 ± 0.13 eV are related to the double acceptor of the Ga(In) vacancy (VGa/In3-/2-) and 60° β misfit dislocations, respectively. Finally, the dislocation climbing mechanism and the evolution of the antisite defects AsGa/In are discussed for n-type In0.53Ga0.47As. ispartof: JOURNAL OF APPLIED PHYSICS vol:124 issue:16 status: published
- Published
- 2018
- Full Text
- View/download PDF
80. The effect of Ga pre-deposition on Si (111) surface for InAs nanowire selective area hetero-epitaxy
- Author
-
Ziyang Liu, Germán R. Castro, Marc Heyns, Olivier Richard, Wilfried Vandervorst, Nadine Collaert, Rita Rooyackers, Hugo Bender, Clement Merckling, Juan Rubio-Zuazo, Alexis Franquet, María Vila, and Aaron Thean
- Subjects
010302 applied physics ,Materials science ,business.industry ,Nanowire ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Gallium arsenide ,chemistry.chemical_compound ,chemistry ,Selective area epitaxy ,0103 physical sciences ,Optoelectronics ,Metalorganic vapour phase epitaxy ,Gallium ,0210 nano-technology ,business ,Layer (electronics) - Abstract
Vertical InAs nanowires (NWs) grown on a Si substrate are promising building-blocks for next generation vertical gate-all-around transistor fabrication. We investigate the initial stage of InAs NW selective area epitaxy (SAE) on a patterned Si (111) substrate with a focus on the interfacial structures. The direct epitaxy of InAs NWs on a clean Si (111) surface is found to be challenging. The yield of vertical InAs NWs is low, as the SAE is accompanied by high proportions of empty holes, inclined NWs, and irregular blocks. In contrast, it is improved when the NW contains gallium, and the yield of vertical InxGa1-xAs NWs increased with higher Ga content. Meanwhile, unintentional Ga surface contamination on a patterned Si substrate induces high yield vertical InAs NW SAE, which is attributed to a GaAs-like seeding layer formed at the InAs/Si interface. The role of Ga played in the III-V NW nucleation on Si is further discussed. It stabilizes the B-polarity on a non-polar Si (111) surface and enhances the nuc...
- Published
- 2018
- Full Text
- View/download PDF
81. MoS2 synthesis by gas source MBE for transition metal dichalcogenides integration on large scale substrates
- Author
-
Johan Meersschaut, Thomas Nuytten, Thierry Conard, P. Carolan, Clement Merckling, S. El Kazzi, Wouter Mortelmans, L. Landeloos, M.M. Heyns, and Iuliana Radu
- Subjects
Photoluminescence ,business.industry ,General Physics and Astronomy ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,symbols.namesake ,Transition metal ,X-ray photoelectron spectroscopy ,Transmission electron microscopy ,symbols ,Optoelectronics ,Wafer ,Thin film ,0210 nano-technology ,business ,Raman spectroscopy ,Molecular beam epitaxy - Abstract
We present in this paper the use of Gas Source Molecular Beam Epitaxy for the large-scale growth of transition metal dichalcogenides. Fiber-textured MoS2 co-deposited thin films (down to 1 MLs) are grown on commercially 200 mm wafer size templates where MX2 crystalline layers are achieved at temperatures ranging from RT to 550 °C. Raman Spectroscopy and photoluminescence measurements along with X-Ray Photoelectron Spectroscopy show that a low growth rate is essential for complete Mo sulfurization during MoS2 co-deposition. Finally, cross-section Transmission Electron Microscopy investigations are discussed to highlight the influence of SiO2 and Al2O3 used surfaces on MoS2 deposition.
- Published
- 2018
- Full Text
- View/download PDF
82. High-k Dielectrics and Interface Passivation for Ge and III/V Devices on Silicon for Advanced CMOS
- Author
-
Michel Houssa, Dennis K.J. Lin, Eddy Simoen, S. Van Elshocht, Geert Eneman, Sonja Sioncke, Geoffrey Pourtois, Julien Penaud, Florence Bellenger, Marco Scarrozza, Guy Brammertz, Annelies Delabie, Koen Martens, Brice De Jaeger, Marc Heyns, Matty Caymax, Clement Merckling, Jerome Mitard, Marc Meuris, and Wei-E Wang
- Subjects
Materials science ,CMOS ,Silicon ,chemistry ,Passivation ,business.industry ,Interface (computing) ,Electronic engineering ,Optoelectronics ,chemistry.chemical_element ,Dielectric ,business ,High-κ dielectric - Abstract
The use of Ge and III/V materials for future CMOS applications is investigated. Passivation of the Ge surface can be obtained by either GeO2 or a thin Si layer. Short channel Ge pMOS devices with low EOT are fabricated. The passivation of III/V materials is a very challenging topic. Some critical issues and passivation schemes are investigated and the performance of inversion channel MOSFET's on In0.53Ga0.47As with ALD Al2O3 is discussed.
- Published
- 2009
- Full Text
- View/download PDF
83. SiGe SEG Growth for Buried Channels p-MOS Devices
- Author
-
Shinji Takeoka, Liesbeth Witters, Matty Caymax, Clement Merckling, Roger Loo, J. Geypen, Andriy Hikavyy, Johan Dekoster, and Bert Brijs
- Subjects
Materials science ,business.industry ,Optoelectronics ,business - Abstract
Different selective epitaxial growth processes to deposit buried SiGe channels with Ge contents in the range of 25-55% and with an ultra thin Si capping layer have been successfully developed and implemented in pMOS device flows. Relatively low deposition temperatures assure the absence of SiGe islands and enable high quality strained SiGe layers. The required low growth temperature governed the choice of precursors used. In this contribution we review in detail the developed SiGe processes together with the deposition of the ultra thin Si cap layer. Electrical data obtained on the devices with incorporated Si0.75Ge0.25 and Si0.55Ge0.45 buried channels are presented as well.
- Published
- 2009
- Full Text
- View/download PDF
84. Capacitance-Voltage (CV) Characterization of GaAs-Oxide Interfaces
- Author
-
H.C. Lin, S. Sioncke, Wei-E Wang, Clement Merckling, Marc Heyns, Koen Martens, David Mercier, Matty Caymax, Christoph Adelmann, Guy Brammertz, Marc Meuris, and Julien Penaud
- Subjects
Materials science ,business.industry ,Band gap ,Oxide ,Trapping ,Substrate (electronics) ,Penning trap ,Condensed Matter::Materials Science ,chemistry.chemical_compound ,Semiconductor ,chemistry ,Gate oxide ,Charge carrier ,Atomic physics ,business - Abstract
We will shortly review the basic physics of charge carrier trapping and emission from trapping states within the bandgap of a semiconductor in order to show that high temperature CV-measurements are necessary for GaAs MOS characterization. The mid-gap trapping states in GaAs have characteristic emission times of the order of 1000 seconds, which makes them extremely complicated to measure at room temperature. Higher substrate temperatures fasten these emission times, which makes measurements of the mid-gap traps possible with standard CV-measurements. CV-characterizations of GaAs/Al2O3, GaAs/Gd2O3, GaAs/HfO2 and In0.15Ga0.85As/Al2O3 interfaces show the existence of four interface state peaks, independent of the gate oxide deposited: a hole trap peak close to the valence band, a hole trap peak close to mid-gap energies, an electron trap peak close to mid-gap energies and an electron trap peak close to the conduction band.
- Published
- 2008
- Full Text
- View/download PDF
85. Influence of passivating interlayer on Ge/HfO2 and Ge/Al2O3 interface band diagrams
- Author
-
Clement Merckling, David P. Brunco, Julien Penaud, Florence Bellenger, Valeri Afanas'ev, Ruben Lieten, Annelies Delabie, Michel Houssa, Marc Meuris, and Andre Stesmans
- Subjects
Materials science ,Silicon ,Band gap ,Mechanical Engineering ,Photoconductivity ,Oxide ,Analytical chemistry ,chemistry.chemical_element ,Germanium ,Condensed Matter Physics ,Band offset ,Atomic layer deposition ,chemistry.chemical_compound ,chemistry ,Mechanics of Materials ,General Materials Science ,Electronic band structure - Abstract
The energy band alignment between Ge, HfO 2 and Al 2 O 3 was analyzed as influenced by passivating interlayers (ILs) of different composition (GeO 2 , Ge 3 N 4 , Si/SiO x ). From internal photoemission and photoconductivity experiments we found no IL-sensitive dipoles at the Ge/HfO 2 interfaces, the latter being universally characterized by conduction and valence band offsets of 2.1 and 3.0 eV, respectively. However, in the case of HfO 2 growth using H 2 O-based atomic layer deposition, the Ge oxide IL appears to have a narrower bandgap, 4.3 eV, than the 5.4–5.9 eV gap of bulk germania. Accordingly, formation of this IL yields significantly reduced barriers for hole and, particularly, electron injection from Ge into the insulator. Changing to a H-free process for HfO 2 and Al 2 O 3 deposition suppresses the formation of the narrow-gap Ge oxide.
- Published
- 2008
- Full Text
- View/download PDF
86. Monolithic/Heterogeneous Integration of IIIV lasers on Silicon
- Author
-
Gunther Roelkens, Joris Van Campenhout, Marianna Pantouvaki, Dries Van Thourhout, Clement Merckling, Geert Morthier, and Zhechao Wang
- Subjects
Waveguide lasers ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Laser ,Epitaxy ,law.invention ,Mode-locking ,chemistry ,law ,Laser mode locking ,Optoelectronics ,Maser ,Photonics ,business - Abstract
In the paper, we elaborate our recent work on both monolithic (epitaxial growth) and heterogeneous (BCB bonding) integration techniques that enable integration of various IIIV lasers on silicon.
- Published
- 2016
- Full Text
- View/download PDF
87. Gate-all-around InGaAs nanowire FETS with peak transconductance of 2200μS/μm at 50nm Lg using a replacement Fin RMG flow
- Author
-
H. C. Lin, Lieve Teugels, X. Zhou, Y-V. Thean, Farid Sebaai, Jan Willem Maes, Qi Xie, A. Sibaja Hernandez, Clement Merckling, Jacopo Franco, Sonja Sioncke, E. Chiu, Michael Eugene Givens, A. Opdebeeck, Niamh Waldron, D. H. van Dorp, Nadine Collaert, A. Vais, Kathy Barla, K. De Meyer, Guillaume Boccardi, Fu Tang, Laura Nyns, and Xiaoqiang Jiang
- Subjects
Materials science ,business.industry ,Annealing (metallurgy) ,Transconductance ,Gate stack ,Nanowire ,Electrical engineering ,chemistry.chemical_compound ,chemistry ,High pressure ,Logic gate ,Optoelectronics ,Wafer ,business ,Indium gallium arsenide - Abstract
We report record results for III-V gate-all-around devices fabricated on 300mm Si wafers. A gm of 2200 μS/μm with an SSsat of 110 mV/dec is achieved for an Lg=50nm device using a newly developed gate stack interlayer material deposited by ALD. In addition it is shown that high pressure annealing can further improve device performance with an average increase in gm of 22% for a 400 °C anneal.
- Published
- 2015
- Full Text
- View/download PDF
88. New materials and devices for optical interconnect
- Author
-
Clement Merckling, J. Van Campenhout, Jeroen Beeckman, Zhechao Wang, Philippe Absil, H. Min-Hsiang, Yingtao Hu, John Puthenparampil George, M. Pantouvaki, Inge Asselberghs, Steven Brems, D. Van Thourhout, and Bin Tian
- Subjects
Silicon photonics ,Materials science ,Silicon ,Graphene ,business.industry ,Hybrid silicon laser ,Optical interconnect ,chemistry.chemical_element ,Epitaxy ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,Indium phosphide ,Optoelectronics ,Photonics ,business - Abstract
In this paper we show how new materials such as InP epitaxially grown on silicon, graphene and ferroelectric materials with strong electro-optic coefficient can be integrated with silicon waveguides and enhance the functionality of the silicon photonics platform.
- Published
- 2015
- Full Text
- View/download PDF
89. Oxide Trapping in the InGaAs–$\hbox{Al}_{2} \hbox{O}_{3}$ System and the Role of Sulfur in Reducing the $ \hbox{Al}_{2}\hbox{O}_{3}$ Trap Density
- Author
-
Marc Meuris, M.M. Heyns, Wei-E Wang, Matty Caymax, Robin Degraeve, Moonju Cho, K. De Meyer, Clement Merckling, Alireza Alian, Dennis Lin, and Guy Brammertz
- Subjects
Electron mobility ,Passivation ,Chemistry ,Oxide ,Analytical chemistry ,chemistry.chemical_element ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Atomic layer deposition ,Electrical and Electronic Engineering ,Layer (electronics) ,Indium ,Indium gallium arsenide ,Deposition (law) - Abstract
Trap spectroscopy by charge injection and sensing method was applied to the In0.53Ga0.47As-Al2O3 system, yielding the spatial and energetic distribution of the traps inside the Al2O3 layer. The trap density inside the atomic-layer-deposited (ALD) Al2O3 layer was found to be significantly reduced by (NH4)2S treatment of the InGaAs surface prior to the Al2O3 deposition. Indium concentration inside the Al2O3 layer was found to be reduced once the InGaAs surface is (NH4)2S treated prior to the Al2O3 deposition as measured by time-of-flight secondary ion mass spectroscopy, indicating indium as a possible origin of the oxide traps. The results suggest a new mechanism for the sulfur action at the InGaAs surface, which might be responsible for the transistor performance improvements observed after ( NH4)2S passivation. This mechanism involves sulfur as an indium diffusion/segregation barrier stabilizing the InGaAs surface during the ALD Al2O3 deposition, lowering the oxide trap density. This, in turn, improves the electron mobility through a reduction in the Coulomb scattering of the carriers due to border traps and improves the device drive current.
- Published
- 2012
- Full Text
- View/download PDF
90. AC Transconductance Dispersion (ACGD): A Method to Profile Oxide Traps in MOSFETs Without Body Contact
- Author
-
Sharon Cui, Guy Brammertz, Tso-Ping Ma, Clement Merckling, D. Lin, Alireza Alian, and Xiao Sun
- Subjects
Materials science ,Passivation ,business.industry ,Transconductance ,Analytical chemistry ,Oxide ,Trapping ,Electronic, Optical and Magnetic Materials ,Gallium arsenide ,chemistry.chemical_compound ,chemistry ,Dispersion (optics) ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Indium gallium arsenide - Abstract
We introduce an ac transconductance dispersion method (ACGD) to profile the oxide traps in an MOSFET without needing a body contact. The method extracts the spatial distribution of oxide traps from the frequency dependence of transconductance, which is attributed to charge trapping as modulated by an ac gate voltage. The results from this method have been verified by the use of the multifrequency charge pumping (MFCP) technique. In fact, this method complements the MFCP technique in terms of the trap depth that each method is capable of probing. We will demonstrate the method with InP passivated InGaAs substrates, along with electrically stressed Si N-MOSFETs.
- Published
- 2012
- Full Text
- View/download PDF
91. Controlled orientation of molecular-beam-epitaxial BaTiO3on Si(001) using thickness engineering of BaTiO3and SrTiO3buffer layers
- Author
-
María Vila, Olivier Richard, Joris Van Campenhout, Juan Rubio-Zuazo, Germán R. Castro, Hugo Bender, Clement Merckling, Johan Meersschaut, Dries Van Thourhout, Thierry Conard, Min-Hsiang Mark Hsu, Philippe Absil, Paola Favia, Marianna Pantouvaki, and Rosalía Cid
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,General Engineering ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Buffer (optical fiber) ,chemistry ,0103 physical sciences ,Optoelectronics ,Photonics ,Thin film ,0210 nano-technology ,business ,Molecular beam ,Layer (electronics) ,Molecular beam epitaxy - Abstract
Monolithically integrating BaTiO3 on silicon substrates has attracted attention because of the wide spectrum of potential novel applications ranging from electronics to photonics. For optimal device performance, it is important to control the BaTiO3 domain orientation during thin film preparation. Here, we use molecular beam epitaxy to prepare crystalline BaTiO3 on Si(001) substrates using a SrTiO3 buffer layer. A systematic investigation is performed to understand how to control the BaTiO3 domain orientation through the thickness engineering of the SrTiO3 buffer layer and the BaTiO3 layer itself. This provides different possibilities for obtaining a given BaTiO3 orientation as desired for a specific device application.
- Published
- 2017
- Full Text
- View/download PDF
92. III-V on-silicon sources for optical interconnect applications
- Author
-
D. Van Thourhout, Bin Tian, Gunther Roelkens, M. Tassaert, Clement Merckling, Zhechao Wang, Thijs Spuesens, Shahram Keyvaninia, J. Van Campenhout, and M. Pantouvaki
- Subjects
Technology and Engineering ,Materials science ,Silicon photonics ,silicon photonics ,Silicon ,business.industry ,Wafer bonding ,Hybrid silicon laser ,Optical interconnect ,Nanowire ,wafer bonding ,chemistry.chemical_element ,III-V on silicon ,Laser ,hetero-epitaxy ,integratd optics ,law.invention ,chemistry ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Photonics ,business ,INTEGRATION - Abstract
Optical interconnects require efficient and flexible optical sources. This paper presents results on two technology platforms being developed for realizing these. Integration using wafer bonding technologies is well established now and the focus is on new device types including tunable lasers, multi-wavelength lasers and switching. As an alternative, we also started work on monolithic integration using heteroepitaxy directly on silicon. We here report recent results on low threshold nanowire lasers.
- Published
- 2014
- Full Text
- View/download PDF
93. An InGaAs/InP quantum well finfet using the replacement fin process integrated in an RMG flow on 300mm Si substrates
- Author
-
Jerome Mitard, S. Ansar, D. H. van Dorp, Ali Pourghaderi, Patrick Ong, Wilfried Vandervorst, Nadine Collaert, Alexey Milenin, A. V-Y. Thean, Laura Nyns, Diana Tsvetanova, Farid Sebaai, Clement Merckling, Guillaume Boccardi, Lieve Teugels, O. Richard, W. Guo, Matty Caymax, Bastien Douhard, Kathy Barla, M.M. Heyns, D. Lin, Hugo Bender, and Niamh Waldron
- Subjects
Materials science ,business.industry ,Doping ,Nanotechnology ,chemistry.chemical_compound ,CMOS ,chemistry ,Logic gate ,MOSFET ,Indium phosphide ,Optoelectronics ,business ,Indium gallium arsenide ,Quantum well ,Leakage (electronics) - Abstract
InGaAs FinFETs fabricated by an unique Si fin replacement process have been demonstrated on 300mm Si substrates. The devices are integrated by process modules developed for a Si-IIIV hybrid 300mm R&D pilot line, compatible for future CMOS high-volume manufacturing. First devices with a SS of 190 mV/dec and extrinsic gm of 558 μS/μm are achieved for an EOT of 1.9nm, L g of 50nm and fin width of 55nm. A trade-off between off state leakage and mobility for different p-type doping levels of the InP and InGaAs layers is found and the RMG high-κ last processing is demonstrated to offer significant performance improvements over that of high-κ first.
- Published
- 2014
- Full Text
- View/download PDF
94. Band-to-band tunneling in MOS-capacitors for rapid tunnel-FET characterization
- Author
-
Marc Heyns, Koen Martens, Jean-Pierre Raskin, S. El Kazzi, V.-Y. Thean, Clement Merckling, Quentin Smets, Anne S. Verhulst, Devin Verreck, and Dennis Lin
- Subjects
Materials science ,business.industry ,Doping ,Nanotechnology ,law.invention ,Capacitor ,Semiconductor ,law ,Quantum dot ,Logic gate ,Density of states ,Optoelectronics ,Field-effect transistor ,business ,Quantum tunnelling - Abstract
Band-to-band tunneling (BTBT) in bulk group IV and III-V semiconductors is well known [1-2], but BTBT to confined layers is more difficult to calibrate experimentally. The latter occurs in most tunnel-FETs (TFET) and in particular in the promising line-TFETs [3,4]. It is predicted that field-induced quantum confinement (FIQC) and changing density of states near the semiconductor/oxide interface negatively impact the BTBT generation rate [5]. In order to gain insight while avoiding complicated TFET fabrication and analysis, we propose and demonstrate the BTBT MOS-capacitor (MOS-CAP) to characterize the onset and rate of BTBT perpendicular to the gate.
- Published
- 2014
- Full Text
- View/download PDF
95. Defect formation in III–V fin grown by aspect ratio trapping technique: A first-principles study
- Author
-
Matty Caymax, W. Guo, Shinichi Yoshida, Dennis Lin, Niamh Waldron, Sijia Jiang, Ken Sawada, Nadine Collaert, Eddy Simoen, Clement Merckling, Masashi Nakazawa, Geoffrey Pourtois, and Hideki Minari
- Subjects
Materials science ,Fin ,Fabrication ,Aspect ratio ,Silicon ,chemistry.chemical_element ,Trapping ,Epitaxy ,chemistry.chemical_compound ,Chemical state ,chemistry ,Chemical physics ,Indium phosphide ,Electronic engineering - Abstract
First-principles investigations are used to study the formation of defects in III-V fins grown using the aspect ratio trapping technique. We show that, during the growth of the III-V, the formation of intermediate chemical states with the precursors leads to the creation of in-diffused Mg/Zn and Al 2 O 3 sub-oxide. Our prediction is consistent with the experimental observations. These defect formations could be at the origin of the degradation of the electrical reliability of III-V fin-shaped field-effect transistors and the cause of the increasing difficulties met in the fabrication of III-V fin.
- Published
- 2014
- Full Text
- View/download PDF
96. High FET Performance for a Future CMOS $\hbox{GeO}_{2}$ -Based Technology
- Author
-
Brice De Jaeger, Clement Merckling, Florence Bellenger, Matty Caymax, Kristin De Meyer, Marc Heyns, Laura Nyns, Michel Houssa, Marc Meuris, E. Vrancken, Julien Penaud, and Thomas Hoffmann
- Subjects
Electron mobility ,Materials science ,Passivation ,business.industry ,chemistry.chemical_element ,Equivalent oxide thickness ,Germanium ,Electronic, Optical and Magnetic Materials ,CMOS ,chemistry ,MOSFET ,Electronic engineering ,Optoelectronics ,Field-effect transistor ,Germanate ,Electrical and Electronic Engineering ,business - Abstract
In Germanium-based metal-oxide-semiconductor field-effect transistors, a high-quality interfacial layer prior to high-? deposition is required to achieve low interface state densities and prevent Fermi level pinning. In this letter, the physical and electrical properties of a Ge/GeO2/Al2O3 gate stack are investigated. The GeO2 interlayer grown by radical oxidation and the formation of a germanate (GeAlOX) layer at the interface provide a stable high-quality passivation of the Ge channel. High carrier mobilities (235 cm2/V·s for electrons and 265 cm2/V·s for holes) are demonstrated for a relatively low 3.7-nm equivalent oxide thickness (EOT), enabling the realization of a high-performance CMOS technology with potential EOT scaling.
- Published
- 2010
- Full Text
- View/download PDF
97. InGaAs tunnel diodes for the calibration of semi-classical and quantum mechanical band-to-band tunneling models
- Author
-
Clement Merckling, Anne S. Verhulst, Marc Heyns, Devin Verreck, Nadine Collaert, Eddy Simoen, Rita Rooyackers, Guido Groeseneken, Wilfried Vandervorst, Voon Yew Thean, Maarten L. Van de Put, Quentin Smets, and Bart Sorée
- Subjects
Physics ,Condensed matter physics ,Transistor ,General Physics and Astronomy ,Heterojunction ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,Computational physics ,law.invention ,Tunnel effect ,law ,MOSFET ,Field-effect transistor ,Quantum ,Quantum tunnelling ,Diode - Abstract
Promising predictions are made for III-V tunnel-field-effect transistor (FET), but there is still uncertainty on the parameters used in the band-to-band tunneling models. Therefore, two simulators are calibrated in this paper; the first one uses a semi-classical tunneling model based on Kane’s formalism, and the second one is a quantum mechanical simulator implemented with an envelope function formalism. The calibration is done for In0.53Ga0.47As using several pþ/intrinsic/nþ diodes with different intrinsic region thicknesses. The dopant profile is determined by SIMS and capacitance-voltage measurements. Error bars are used based on statistical and systematic uncertainties in the measurement techniques. The obtained parameters are in close agreement with theoretically predicted values and validate the semi-classical and quantum mechanical models. Finally, the models are applied to predict the input characteristics of In0.53Ga0.47As n- and p-lineTFET, with the n-lineTFET showing competitive performance compared to MOSFET. ispartof: Journal of Applied Physics vol:115 issue:18 pages:1-9 status: published
- Published
- 2014
98. Analysis of border traps in high-к gate dielectrics on high-mobility channels
- Author
-
H. C. Lin, Eddy Simoen, Alireza Alian, Jerome Mitard, Clement Merckling, C. Claeys, and Guy Brammertz
- Subjects
Materials science ,Deep-level transient spectroscopy ,business.industry ,Transconductance ,Transistor ,Dielectric ,Noise (electronics) ,law.invention ,Gallium arsenide ,chemistry.chemical_compound ,Capacitor ,chemistry ,law ,Dispersion (optics) ,Optoelectronics ,business - Abstract
This paper gives an overview of measurement techniques to assess border traps in high-k gate dielectrics deposited on high-mobility channel materials, like Ge and InGaAs. A short description of the measurement principle and bulk oxide trap analysis will be provided for three methods, namely, low-frequency (1/f) noise, Deep-Level Transient Spectroscopy and AC transconductance dispersion. Practical application is illustrated either on metal-oxide-semiconductor capacitors or transistors, depending on the technique.
- Published
- 2013
- Full Text
- View/download PDF
99. An ultra-short InP nanowire laser monolithic integrated on (001) silicon substrate
- Author
-
Mohan Paladugu, Zhechao Wang, Dries Van Thourhout, Johan Dekoster, Clement Merckling, Philippe Absil, Bin Tian, Joris Van Campenhout, Matty Caymax, W Guo, and Marianna Pantouvaki
- Subjects
Technology and Engineering ,Silicon photonics ,Materials science ,Silicon ,Hybrid silicon laser ,business.industry ,Nanowire ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,01 natural sciences ,7. Clean energy ,010309 optics ,chemistry ,0103 physical sciences ,Optoelectronics ,Light emission ,Photonics ,0210 nano-technology ,business ,Lasing threshold - Abstract
Silicon photonics holds the promise of converging electronics and photonics. The key component, a low-cost high-performance laser, is still missing however within this platform. Although novel solutions have been proposed to increase the light emission directly from silicon (or Ge), compared with their III-V counterparts, these solutions are still in their infancy. Recently, the epitaxial growth of III-Vs on silicon regained a wide interest. III-V nanowire growth has been widely investigated. However, most of the III-V nanowire lasers on silicon require a complex cleaving and transfer process, which make these devices not suitable for dense integration. In addition, the large cavity dimensions along the nanowire axis (several microns) hinder dense integration. Here, we present the first room-temperature operation of an ultra-short InP nanowire laser that is epitaxially grown on an exactly [001] oriented silicon substrate. The sub-micron sized laser cavity largely enhances the interaction of the lasing mode with the gain medium, and a large spontaneous emission factor has been obtained.
- Published
- 2013
- Full Text
- View/download PDF
100. Diffraction studies for stoichiometry effects in BaTiO3grown by molecular beam epitaxy on Ge(001)
- Author
-
Hugo Bender, Johan Meersschaut, Min-Hsiang Mark Hsu, Salim El Kazzi, Philippe Absil, Clement Merckling, Marianna Pantouvaki, Joris Van Campenhout, O. Richard, and Dries Van Thourhout
- Subjects
010302 applied physics ,Diffraction ,Technology and Engineering ,Materials science ,Reflection high-energy electron diffraction ,General Physics and Astronomy ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Rutherford backscattering spectrometry ,01 natural sciences ,TITANATE THIN-FILMS ,Crystallography ,NONSTOICHIOMETRY ,Lattice constant ,Electron diffraction ,CRYSTALLINE OXIDES ,LAYER ,0103 physical sciences ,X-ray crystallography ,THERMAL-EXPANSION ,DEPOSITION ,SILICON ,0210 nano-technology ,Crystal twinning ,Molecular beam epitaxy - Abstract
In this work, we present a systematic study of the effect of the stoichiometry of BaTiO3 (BTO) films grown on the Ge(001) substrate by molecular-beam-epitaxy using different characterization methods relying on beam diffraction, including reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), and selected-area electron diffraction in transmission electron microscopy. Surprisingly, over a wide range of [Ba]/[Ti] ratios, as measured by the Rutherford backscattering spectrometry, all the BTO layers exhibit the same epitaxial relationship < 100 > BTO(001)//< 110 > Ge(001) with the substrate, describing a 45 degrees lattice rotation of the BTO lattice with respect to the Ge lattice. However, varying the [Ba]/[Ti] ratio does change the diffraction behavior. From RHEED patterns, we can derive that excessive [Ba] and [Ti] generate twinning planes and a rougher surface in the non-stoichiometric BTO layers. XRD allows us to follow the evolution of the lattice constants as a function of the [Ba]/[Ti] ratio, providing an option for tuning the tetragonality of the BTO layer. In addition, we found that the intensity ratio of the 3 lowest-order Bragg peaks I-(001)/I-(002), I-(101)/I-(002), and I-(111)/I-(002) derived from omega - 2 theta scans characteristically depend on the BTO stoichiometry. To explain the relation between observed diffraction patterns and the stoichiometry of the BTO films, we propose a model based on diffraction theory explaining how excess [Ba] or [Ti] in the layer influences the diffraction response. Published by AIP Publishing.
- Published
- 2016
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.