Search

Your search keyword '"digital clock manager"' showing total 38 results

Search Constraints

Start Over You searched for: Descriptor "digital clock manager" Remove constraint Descriptor: "digital clock manager" Journal ieee transactions on very large scale integration (vlsi) systems Remove constraint Journal: ieee transactions on very large scale integration (vlsi) systems
38 results on '"digital clock manager"'

Search Results

1. CMCS: Current-Mode Clock Synthesis

2. Design Methodology for Voltage-Scaled Clock Distribution Networks

3. Skew Minimization With Low Power for Wide-Voltage-Range Multipower-Mode Designs

4. A Robust Energy/Area-Efficient Forwarded-Clock Receiver With All-Digital Clock and Data Recovery in 28-nm CMOS for High-Density Interconnects

5. Sequential Element Timing Parameter Definition Considering Clock Uncertainty

6. Range Unlimited Delay-Interleaving and -Recycling Clock Skew Compensation and Duty-Cycle Correction Circuit

7. A Mismatch-Insensitive Skew Compensation Architecture for Clock Synchronization in 3-D ICs

8. Pulsed-Latch Utilization for Clock-Tree Power Optimization

9. Integrated Power and Clock Distribution Network

10. A Compact Clock Generator for Heterogeneous GALS MPSoCs in 65-nm CMOS Technology

11. Fast Power- and Slew-Aware Gated Clock Tree Synthesis

12. The Optimal Fan-Out of Clock Network for Power Minimization by Adaptive Gating

13. ZeROA: Zero Clock Skew Rotary Oscillatory Array

14. A Reconfigurable Clock Polarity Assignment Flow for Clock Gated Designs

15. Flexible and Reconfigurable Mismatch-Tolerant Serial Clock Distribution Networks

16. Compact Expressions for Supply Noise Induced Period Jitter of Global Binary Clock Trees

17. A High Precision Fast Locking Arbitrary Duty Cycle Clock Synchronization Circuit

18. Autonomous, Multilevel Ring Tuning Scheme for Post-Silicon Active Clock Deskewing Over Intra-Die Variations

19. SACTA: A Self-Adjusting Clock Tree Architecture for Adapting to Thermal-Induced Delay Variation

20. A Fast Heuristic Algorithm for Multidomain Clock Skew Scheduling

21. An Effective Gated Clock Tree Design Based on Activity and Register Aware Placement

22. Implementing Multiphase Resonant Clocking on a Finite-Impulse Response Filter

23. A Low-Jitter Open-Loop All-Digital Clock Generator With Two-Cycle Lock-Time

24. Ultra Low-Power Clocking Scheme Using Energy Recovery and Clock Gating

25. Dynamically De-Skewable Clock Distribution Methodology

26. Injection-Locked Clocking: A Low-Power Clock Distribution Scheme for High-Performance Microprocessors

27. Integrated Placement and Skew Optimization for Rotary Clocking

28. Low-Power Rotary Clock Array Design

29. An efficient merging scheme for prescribed skew clock routing

30. Dual-edge triggered storage elements and clocking strategy for low-power systems

31. A clock power model to evaluate impact of architectural and technology optimizations

32. Electrical and optical clock distribution networks for gigascale microprocessors

33. Statistical clock skew modeling with data delay variations

34. Multiclock selection and synthesis for CDFGs using optimal clock sets and genetic algorithms

35. Planar clock routing for high performance chip and package co-design

36. Design methodology for synthesizing clock distribution networks exploiting nonzero localized clock skew

37. Dynamic thermal clock skew compensation using tunable delay buffers

38. Correction to 'Statistical clock skew modeling with data delay variations'

Catalog

Books, media, physical & digital resources