Search

Showing total 285 results

Search Constraints

Start Over You searched for: Topic field programmable gate arrays Remove constraint Topic: field programmable gate arrays Journal journal of circuits, systems & computers Remove constraint Journal: journal of circuits, systems & computers
285 results

Search Results

1. High Performance FPGA Implementation of Single MAC Adaptive Filter for Independent Component Analysis.

2. A High-Efficiency FPGA-Based ORB Feature Matching System.

3. ECG R-Wave Detection and Its Application in Left Ventricular Assist Device.

4. Reconfigurable Image Confusion Scheme Using Large Period Pseudorandom Bit Generator Based on Coupled-Variable Input LCG and Clock Divider.

5. Caching Hybrid Rotation: A Memory Access Optimization Method for CNN on FPGA.

6. Performance Evaluation of Effective Multi-Structure Controllers for KY Negative Output Boost Converter.

7. Compact and High-Speed Hsiao-Based SEC-DED Codec for Cache Memory.

8. MBIST Implementation and Evaluation in FPGA Based on Low-Complexity March Algorithms.

9. Optimized Fault-Tolerant Adder Design Using Error Analysis.

10. Design Techniques for Direct Digital Synthesis Circuits with Improved Frequency Accuracy Over Wide Frequency Ranges.

11. Reconfigurable Architecture for DNA Diffusion Technique-Based Medical Image Encryption.

12. A High-Performance Low Complex Design and Implementation of QRS Detector Using Modified MaMeMi Filter Optimized with Mayfly Optimization Algorithm.

13. A Novel Morphological Feature Extraction Approach for ECG Signal Analysis Based on Generalized Synchrosqueezing Transform, Correntropy Function and Adaptive Heuristic Framework in FPGA.

14. Functional Verification of Dynamic Partial Reconfiguration for Software-Defined Radio.

15. Multiple-Core PLC CPU Implementation and Programming.

16. Design and Implementation of Time-Frequency Distributions for Real-Time Applications Using Field Programmable Gate Array.

17. Efficient Use of On-Chip Memories and Scheduling Techniques to Eliminate the Reconfiguration Overheads in Reconfigurable Systems.

18. Analysis, Control and FPGA Implementation of a Fractional-Order Modified Shinriki Circuit.

19. Fast and Accurate System-Level Power Estimation Model for FPGA-Based Designs.

20. FPGA Virtualization Mechanism Based on Heterogeneous Zynq Platforms.

21. ASIC and FPGA Comparative Study for IoT Lightweight Hardware Security Algorithms.

22. Low Power Transposed Form 4-Tap Finite Impulse Response Filter Using Power Efficient Multiply Accumulate Unit.

23. Redundant-Signed-Digit-Based High Speed Elliptic Curve Cryptographic Processor.

24. Design and FPGA Implementation of New Multidimensional Chaotic Map for Secure Communication.

25. FPGA Implementation of Linear Congruential Generator Based on Block Reduction Technique.

26. A Side-Channel Analysis for Hardware Trojan Detection Based on Path Delay Measurement.

27. A High-Performance and Hardware-Efficient PCIe Transmission for a Multi-Channel Video Using Command Caching and Dynamic Splicing on FPGA.

28. An Efficient Fixed-Point Multiplier Based on CORDIC Algorithm.

29. Towards an Optimized Architecture for Unified Binary Huff Curves.

30. SEU-SECURE PARITY PREDICTION MULTIPLIER ON SRAM-BASED FPGAS.

31. A MULTIPROCESSOR Nios II IMPLEMENTATION OF DUFFING OSCILLATOR ARRAY FOR WEAK SIGNAL DETECTION.

32. Performance Evaluation of Effective Controller for Buck-Boost DC-DC DSNIBBC.

33. Exploiting Energy–Quality (E–Q) Tradeoffs: A Case Study on Color-to-Grayscale Converters with Approximate Design on FPGA.

34. Implementation of High Performance Hierarchy-Based Parallel Signed Multiplier for Cryptosystems.

35. Fault-Tolerant Strategy for Real-Time System Based on Evolvable Hardware.

36. VLSI Implementation of Low Power High Speed ECC Processor Using Versatile Bit Serial Multiplier.

37. Design of EMB-Based Moore FSMs.

38. Via-Aware Dogleg Routing Using Boolean Satisfiability.

39. Implementation on the FPGA of DTC-SVM Based Proportional Integral and Sliding Mode Controllers of an Induction Motor: A Comparative Study.

40. In-Situ Timing Error Predictor-Based Two-Cycle Adaptive Frequency Scaling System on an FPGA.

41. New Hardware Architecture for Self-Organizing Map Used for Color Vector Quantization.

42. AFBV: A High-Performance Network Flow Classification Method for Multi-Dimensional Fields and FPGA Implementation.

43. Hardware Design of Seizure Detection Based on Wavelet Transform and Sample Entropy.

44. A Massively Parallel Implementation of a Modular Self-Organizing Map on FPGAs.

45. FPGA-Based Hardware Accelerator for an Embedded Factor Graph with Configurable Optimization.

46. Smart Home Scheduling for Cost Reduction and Its Implementation on FPGA.

47. Image Edge Detectors under Different Noise Levels with FPGA Implementations.

48. AM&FT: An Aging Mitigation and Fault Tolerance Framework for SRAM-Based FPGA in Space Applications.

49. Symmetric Coexisting Attractors in a Novel Memristors-Based Chuas Chaotic System.

50. A Comparative Study on Determining Nonlinear Function Parameters of the Izhikevich Neuron Model.