91 results on '"van Lare, Claire"'
Search Results
2. Holistic assessment and control of total CDU
3. 2D local interconnect metal patterning exploration for CFET
4. Study of ArF phase shift masks radiation damage induced scum defect
5. High-NA mask phase-effects studied by AIMS EUV
6. An idea of two-mask stage for high-NA EUV scanners
7. A novel solution for next-generation EUV pellicle: breathable membrane with increased transmittance
8. Genetic optimization of aperiodic multilayer masks for high and hyper-NA EUV lithography
9. Metrology-class EUV light source based on quasi-continuous copper LPP
10. An experimental stitching study on the eve of high-NA EUV
11. Proposal of cap layer design combined with absorber for EUV mask
12. Hard failure prediction of ADI pattern using optical parameters and machine learning
13. OPC and modeling solution towards 0.55NA EUV stitching
14. Accelerated equipment design through a next generation damping and lifetime simulation capabilities
15. Pushing the boundaries of random logic metal patterning with low-n EUV single exposure
16. Exploring EUV scanner design options enabled by free electron laser sources
17. Study of patterning for advanced packaging
18. High-power EUV light sources (>500w) for high throughput in next-generation EUV lithography tools
19. On the compatibility of free-electron lasers with EUV scanners
20. Modeling edge placement error performance of EUV and DSA multipatterning processes
21. Driving exposure accuracy and cost-of-ownership on DUV immersion and dry-NXT scanner products
22. Imaging study of phase shift spatial light modulator for digital scanner
23. EUV optics at ZEISS: status, outlook, and future
24. The next step in Moore’s law: high-NA EUV introduction at the customer
25. Best focus alignment through pitch strategies for hyper-NA EUV lithography
26. 3D mask simulation and lithographic imaging using physics-informed neural networks
27. Unraveling strength and mechanical properties of CNT-based EUV pellicle
28. Computational distortion prediction method considering characteristics of EUV lithography
29. Understanding and measuring EUV mask 3D effects
30. Particle mobilization by EUV-induced plasma and fast electrons
31. EUV OPC modeling of dry photoresist system for pitch 32nm BEOL
32. Molecular cluster impact on EUV stochasticity
33. Actinic patterned mask inspection for high-NA EUV lithography
34. EUV lithography: LER design, mask, and wafer impact
35. Overview of stitching for high NA: imaging and overlay experimental and simulation results
36. Low-n mask progressing insights: focus on isolated features
37. High-NA EUV imaging: the quest for resolution, depth-of-focus, and productivity
38. The EUV mask as a system: function breakdown and interface description
39. EUV mask absorber induced best focus shifts
40. Optimizations aspects for EUV low-k1 logic with the low-n mask
41. Pathfinding the perfect EUV mask: understanding the EUV mask using the hybrid mask model
42. High-NA EUV imaging: from system introduction towards low-k1 extension
43. Overview of stitching for high NA: imaging and overlay experimental and simulation results
44. Investigation into a prototype extreme ultraviolet low-n attenuated phase-shift mask
45. Investigation of waveguide modes in EUV mask absorbers
46. Investigation into a prototype EUV attenuated phase-shift mask
47. Fundamental understanding and experimental verification of bright versus dark field imaging
48. EUV phase shift mask requirements for imaging at low-k1
49. Extending EUV lithography for DRAM applications
50. Mask-absorber optimization: the next phase
Catalog
Books, media, physical & digital resources
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.