29 results on '"Yong Kong Siew"'
Search Results
2. Process Variation Analysis of Device Performance Using Virtual Fabrication: Methodology Demonstrated on a CMOS 14-nm FinFET Vehicle
- Author
-
Stenfan Kubicek, Tom Schram, Joseph Ervin, Benjamin Vincent, Raghu Hathwar, Jerome Mitard, Eugenio Dentoni Litta, Sylvain Baudot, Mattan Kamon, Steven Demuynck, Thomas Chiarella, Yong Kong Siew, and S. A. Chew
- Subjects
010302 applied physics ,Computer science ,Design of experiments ,Semiconductor device modeling ,Process variable ,Statistical process control ,01 natural sciences ,Subthreshold slope ,Electronic, Optical and Magnetic Materials ,Process variation ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Process window ,Virtual device ,Electrical and Electronic Engineering ,Simulation - Abstract
A new methodology is demonstrated to assess the impact of fabrication inherent process variability on 14-nm fin field effect transistor (FinFET) device performance. A model of a FinFET device was built using virtual device fabrication and testing. The model was subsequently calibrated on Design of Experiment corner case data that had been collected on a limited number of processed fab wafers. We then performed 400 virtual experiments comprising seven sources of process variation. Using this virtual fabrication technique, we were able to identify a minimum gate-to-source/drain spacer thickness for a high-temperature post-EPI rapid thermal anneal (RTA) anneal process that avoided device subthreshold slope penalties. The model allowed us to determine the optimal Si recess depth target and process window prior to source/drain epitaxy. We obtained these results by reviewing device performance as a function of statistical process sensitivity and highlighting key process parameters requiring variation control. These experiments would have been impractical to perform in an actual fab, due to the time, cost, and equipment requirements of running 400 fab-based process variation experiments for each process parameter. This methodology can be used to avoid wafer-based testing during early technology development.
- Published
- 2020
- Full Text
- View/download PDF
3. Buried Power Rail Integration With FinFETs for Ultimate CMOS Scaling
- Author
-
S. Paolillo, Guillaume Boccardi, N. Jourdan, Manoj Jaysankar, Zheng Tao, Sylvain Baudot, Geert Mannaert, Juergen Boemmels, T. Hopf, E. Capogreco, Shouhua Wang, Efrain Altamirano, E. Dupuy, Olalla Varela Pedreira, B. Briggs, Thomas Chiarella, Joris Cousserier, Sofie Mertens, Romain Ritzenthaler, Frank Holsteyns, C. Lorant, Goutham Arutchelvan, Ingrid Demonie, Steven Demuynck, K. Kenis, Xiuju Zhou, Anshul Gupta, F. Sebai, D. Radisic, Zsolt Tokei, Erik Rosseel, A. Sepulveda, Naoto Horiguchi, Christel Drijbooms, Antony Premkumar Peter, Haroen Debruyn, Nouredine Rassoul, Bilal Chehab, P. Morin, Boon Teik Chan, Christopher J. Wilson, Katia Devriendt, Noemie Bontemps, Frederic Lazzarino, Paola Favia, Lieve Teugels, D. Yakimets, F. Schleicher, Houman Zahedmanesh, Jerome Mitard, Min-Soo Kim, An De Keersgieter, Sujith Subramanian, Kevin Vandersmissen, Hans Mertens, Eugenio Dentoni Litta, and Yong Kong Siew
- Subjects
010302 applied physics ,Materials science ,business.industry ,chemistry.chemical_element ,Dielectric ,Tungsten ,01 natural sciences ,Electromigration ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,CMOS ,law ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,Tin ,business ,Spark plug ,Critical dimension ,Scaling - Abstract
Buried power rail (BPR) is a key scaling booster for CMOS extension beyond the 5-nm node. This work demonstrates, for the first time, the integration of tungsten (W) BPR lines with Si finFETs. BPR technology requires insertion of metal in the front-end-of-line (FEOL) stack. This poses risks of stack deformation and device degradation due to metal-induced stress and contamination. To assess the stack deformation, we demonstrate W-BPR lines which can withstand source/drain activation anneal at 1000 °C, 1.5 s, without adversely impacting the stack morphology. To address the contamination risk, we demonstrate a BPR process module with controlled W recess and void-free dielectric plug formation which keeps the W-line fully encapsulated during downstream FEOL processing. Suitable choice of BPR metal such as W with high melting point which does not diffuse into dielectrics also minimizes the risk of contamination. To assess the device degradation, simulations are carried out showing negligible stress transfer from BPR to the channel. This is experimentally validated when no systematic difference in the dc characteristics of CMOS without BPR versus those in close proximity to floating W-BPR lines is observed. Additionally, the resistance of the recessed W-BPR line is measured $\sim 120~\Omega /\mu \text{m}$ for critical dimension (CD) ~32 nm and height ~122 nm. The recessed W-BPR interface with Ru 3-nm TiN liner via contact can withstand more than 1000 h of electromigration (EM) stress at 6.6 MA/cm2 and 330 °C, making Ru a candidate for via metallization to achieve low resistance contact strategy to BPR.
- Published
- 2020
- Full Text
- View/download PDF
4. Buried Power Rail Integration with Si FinFETs for CMOS Scaling beyond the 5 nm Node
- Author
-
N. Jourdan, Katia Devriendt, E. Dupuy, Hans Mertens, S. Paolillo, Guillaume Boccardi, F. Schleicher, E. Sanchez, Romain Ritzenthaler, Frank Holsteyns, Z. Tao, Sylvain Baudot, Sofie Mertens, Haroen Debruyn, Kevin Vandersmissen, Thomas Chiarella, P. Morin, Antony Premkumar Peter, Anshul Gupta, Erik Rosseel, Min-Soo Kim, Nouredine Rassoul, Boon Teik Chan, Christopher J. Wilson, D. Radisic, Lieve Teugels, A. De Keersgieter, D. Yakimets, I. Demonie, N. Bontemps, C. Drijbooms, Sujith Subramanian, Bilal Chehab, Paola Favia, C. Lorant, Farid Sebaai, Steven Demuynck, Frederic Lazzarino, E. Dentoni Litta, G. Mannaert, Houman Zahedmanesh, Yong Kong Siew, J. Cousserier, T. Hopf, B. Briggs, Manoj Jaysankar, Jerome Mitard, K. Kenis, A. Sepúlveda, S. Wang, Naoto Horiguchi, Goutham Arutchelvan, E. Capogreco, O. Varela Pedreira, D. Zhou, Jürgen Bömmels, and Zsolt Tokei
- Subjects
010302 applied physics ,Materials science ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,Tungsten ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Cmos scaling ,CMOS ,chemistry ,Booster (electric power) ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Low resistance ,Scaling - Abstract
Buried power rail (BPR) is a key scaling booster for CMOS extension beyond the 5 nm node. This paper demonstrates, for the first time, the integration of tungsten (W) BPR lines with Si finFETs. The characteristics of CMOS in close proximity to floating BPR are found to be similar to the characteristics of CMOS without BPR. Moreover, W-BPR interface with Ru via contact can withstand more than 320 h of electromigration (EM) stress at 4 MA/cm 2 and 330°C, making Ru a candidate for via metallization to achieve low resistance contact strategy to BPR.
- Published
- 2020
- Full Text
- View/download PDF
5. First Monolithic Integration of 3D Complementary FET (CFET) on 300mm Wafers
- Author
-
P. Schuddinck, J. Hung, Sylvain Baudot, Yong Kong Siew, D. Batuk, P. Morin, X. Zhou, R. Koret, E. Capogreco, E. Dentoni Litta, S. Subramanian, G. Mannaert, Farid Sebaai, Naoto Horiguchi, Alessio Spessot, Maryamsadat Hosseini, Thomas Chiarella, T. Hopf, D. Radisic, Antony Premkumar Peter, Andriy Hikavyy, G. T. Martinez, Boon Teik Chan, B. Briggs, S. Sarkar, Anabela Veloso, S. Wang, Steven Demuynck, Katia Devriendt, Erik Rosseel, Julien Ryckaert, and Juergen Boemmels
- Subjects
Fabrication ,business.industry ,Computer science ,PMOS logic ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,Field-effect transistor ,Parasitic extraction ,business ,NMOS logic - Abstract
We report the first monolithic integration of 3D Complementary Field Effect Transistor (CFET) on 300mm wafers using imec's N14 platform. A monolithic CFET process is cost effective compared to a sequential CFET process. The small N/P separation in a monolithic CFET results in lower parasitics and higher performance gains. In this paper, using a CFET fabrication process flow, we demonstrate functional PMOS FinFET bottom devices and NMOS nanosheet FET top devices. Process development of all the critical modules to enable these devices are presented. Monolithic CFET integration scheme could enable the ultimate device footprint scaling required in future technology nodes.
- Published
- 2020
- Full Text
- View/download PDF
6. High performance strained Germanium Gate All Around p-channel devices with excellent electrostatic control for sub-Jtlnm LG
- Author
-
A. De Keersgieter, Dan Mocuta, L.-A. Ragnarsson, Daniil Marinov, Robert Langer, E. Dupuy, Roger Loo, Yong Kong Siew, Andriy Hikavyy, G. Mannaert, Anurag Vohra, Liesbeth Witters, Nadine Collaert, Farid Sebaai, V. De Heyn, Hiroaki Arimura, E. Capogreco, Kathy Barla, Christa Vrancken, A. Opdebeeck, F. Holstetns, Steven Demuynck, Naoto Horiguchi, Jerome Mitard, E. Altamirano Sanchez, and Clement Porret
- Subjects
010302 applied physics ,Physics ,P channel ,chemistry ,0103 physical sciences ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,021001 nanoscience & nanotechnology ,0210 nano-technology ,01 natural sciences ,Scaling ,Molecular physics - Abstract
This paper demonstrates high performance strained p-type double stacked Ge Gate-AlI-Around (GAA) devices at significantly reduced gate lengths $(\text{L}_{\text{G}}\sim 25\text{nm})$ compared to our previous work. Excellent electrostatic control is maintained down to $\text{L}_{\text{G}}=25$ nm by using extension-less scheme, while the performance is kept by appropriate spacer scaling and implementation of highly B-doped Ge or GeSn as source/drain (S/D) material.
- Published
- 2019
- Full Text
- View/download PDF
7. Evaluation of the accuracy and precision of STEM and EDS metrology on horizontal GAA nanowire devices
- Author
-
Chris Hakala, Anne Kenslea, Michael Strauss, Laurens Kwakman, Hayley Johanesen, Hans Mertens, Kathy Barla, Werner Boullart, and Yong Kong Siew
- Subjects
Semiconductor industry ,Accuracy and precision ,Software ,business.industry ,Computer science ,Detector ,Electronic engineering ,Nanowire ,NAND gate ,Degree of precision ,business ,Metrology - Abstract
The scaling of device dimensions has resulted in a need for high resolution metrology techniques capable of measuring small CDs with a high degree of precision and accuracy. Scanning transmission electron microscopy (STEM) has previously been demonstrated to be a metrology technique capable of measuring small CDs and gathering large volumes of accurate and precise metrology data. In addition, energy dispersive X-ray spectroscopy (EDS) metrology has also been demonstrated to be a powerful technique enabling the detection and measurement of low contrast layers, specifically for 3D NAND devices. Benchmarking EDS metrology against STEM metrology in terms of precision and accuracy is important to further investigate the capabilities of EDS metrology for the semiconductor industry. This study was performed using the latest technology in EDS detectors, along with automated acquisition and metrology software to generate large metrology data sets on horizontal nanowire structures. In this paper, we present data to support our finding that EDS metrology is well-matched with STEM metrology in terms of both precision and accuracy. In addition, we discuss the capability of EDS and STEM metrology to detect subtle process variations in next-generation logic devices.
- Published
- 2019
- Full Text
- View/download PDF
8. Statistical significance of STEM based metrology on advanced 3D transistor structures
- Author
-
Jillian Cramer, Hans Mertens, Kathy Barla, Yong Kong Siew, Laurens Kwakman, Werner Boullart, Michael Strauss, Hayley Johanesen, and Anne Kenslea
- Subjects
Materials science ,business.industry ,Transistor ,Nanowire ,Context (language use) ,Bending ,Metrology ,law.invention ,Optics ,CMOS ,law ,Multiple patterning ,business ,Lithography - Abstract
Metrology of most advanced CMOS devices poses more and more challenges: lithography and etch patterning processes need to be controlled on critical parameters that -beyond critical dimensions (CD)- nowadays also include line width and line edge roughness (LWR/LER), multiple patterning induced pitch-walk and, due to the high aspect ratio of the patterned structures, also thermo-mechanical structural bending. In this paper, it is shown that sufficient sampling is required to ensure that scanning transmission electron microscopy (STEM) does provide relevant information about the dimensions and chemical composition of the advanced devices. While, in principle, STEM can measure device dimensions with Angstrom resolution and sub-nm precision, a single measurement will not be representative for the device dimensions that are known to vary statistically (LER/LWR) as well as systematically (Pitch-walk, structural bending). In this context, the metrology capabilities of a (calibrated) automated 80-200kV STEM with Cs aberration corrector and a high efficiency EDS detector have been evaluated for both STEM-EDS and STEM-HAADF acquisitions. It will be shown that, when measuring multiple (~ 400) individual FinFET structures (Silicon Fins / dummy Silicon gate lines), average CD, LER and LWR can be quantified from the distribution of measured line widths and line pitches, that SADP and SAQP induced pitch-walk may show up as multi-modal pitch distributions, and that pitch walking can be quantified if also structural bending, that is observed, is properly taken into account. Finally, the STEM and EDS metrology capabilities for FinFET and NanoWire (NW) device structures are reviewed for different use cases (statistical process control and technology development support) and with different indicators (Precision over Tolerance ratio (P/T) and Variability ratio (r = 1 – σ2 metrology / σ2 measured process )).
- Published
- 2019
- Full Text
- View/download PDF
9. Scalability of RuTiN barriers deposited by plasma-enhanced atomic layer deposition for advanced interconnects
- Author
-
Sven Van Elshocht, Jürgen Bömmels, Zsolt Tőkei, Els Van Besien, Johan Swerts, Yohan Barbarin, Yong-Kong Siew, and Karl Opsomer
- Subjects
Materials science ,Nucleation ,Oxide ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Atomic layer deposition ,chemistry.chemical_compound ,Rutin ,Chemical engineering ,chemistry ,Deposition (phase transition) ,Electrical and Electronic Engineering ,Tin ,Layer (electronics) ,Titanium - Abstract
A tri-layered in situ grown RuTiN barrier system for advanced interconnects was developed using plasma-enhanced atomic layer deposition (PE-ALD) from tetrakis(dimethylamino)titanium, (pyrrolyl-methylcyclopentadienyl)ruthenium and N2/H2 plasma. The system consists of an ultrathin TiN nucleation layer to enable Ru growth on Si oxide substrates, a bulk RuTiN layer, and a top Ru film to ensure compatibility with Cu and electrochemical Cu deposition techniques. It is shown that the nucleation layer does not need to be continuous and that 0.6–0.7 nm is sufficient to enable Ru nucleation on oxides. Layer closure studies of a Ru cap grown on RuTiN films indicate that the minimal thickness to have a closed Ru layer at the top surface is around 1.0 nm. 3 nm RuTiN films show good oxidation resistance and Cu barrier properties for interconnect applications when compared to the well-known TaN barrier system. Furthermore, conformal deposition in narrow lines has been obtained. As such, we demonstrate that using the flexibility of the PE-ALD process, composition controllability of the bulk and interfaces can be achieved for sub 3 nm thin RuTiN barriers. The interface composition can be optimized for compatibility with bottom and top layers, which makes the system a viable candidate for future interconnect technologies.
- Published
- 2014
- Full Text
- View/download PDF
10. Full reliability study of advanced metallization options for 30nm ½pitch interconnects
- Author
-
Gerald Beyer, Yong Kong Siew, Kristof Croes, Nancy Heylen, Steven Demuynck, Marianna Pantouvaki, Christopher J. Wilson, and Zsolt Tkei
- Subjects
Interconnection ,Materials science ,business.industry ,Time-dependent gate oxide breakdown ,Activation energy ,Dielectric ,Condensed Matter Physics ,Electromigration ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Reliability (semiconductor) ,Reliability study ,Forensic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Layer (electronics) - Abstract
Different metallization options that allow filling 30nm 1/2pitch interconnect trenches have been explored and their full reliability performance has been benchmarked to conventional PVD TaNTa/PVD Cu seed based metallizations. CVD Co as seed enhancement layer shows no deterioration in barrier performance and improved electromigration performance, but the activation energy for electromigration was 0.68+/-0.20eV, which is at the lower end of the expected value of 0.85-0.95eV for this parameter. When integrating our trenches in a k=3.2 non-porous SiCOH low-k material, PVD RuTa barriers with 90%Ru and 10%Ta show degraded barrier performance and significant lowering of activation energy for electromigration (0.59+/-0.05eV) while when using SiO"2 as intermetal dielectric, no significant reliability deterioration is observed. Finally, it is shown that, using an optimized PVD Cu seed, standard PVD TaNTa-barriers give excellent barrier performance and that typical electromigration lifetime specs can be met with this metallization scheme down to 30nm 1/2pitch.
- Published
- 2013
- Full Text
- View/download PDF
11. Evaluation of the accuracy and precision of STEM and EDS metrology on horizontal GAA nanowire devices.
- Author
-
Johanesen, Hayley, Strauss, Michael, Kenslea, Anne, Hakala, Chris, Kwakman, Laurens, Boullart, Werner, Mertens, Hans, Yong Kong Siew, and Barla, Kathy
- Published
- 2019
- Full Text
- View/download PDF
12. Statistical Significance of STEM based Metrology on Advanced 3D Transistor Structures.
- Author
-
Kwakman, Laurens, Kenslea, Anne, Johanesen, Hayley, Cramer, Jillian, Strauss, Michael, Boullart, Werner, Mertens, Hans, Yong Kong Siew, and Barla, Kathy
- Published
- 2019
- Full Text
- View/download PDF
13. Plasma Enhanced Chemical Vapor Deposition of Manganese on Low-k Dielectrics for Copper Diffusion Barrier Application
- Author
-
N. Jourdan, Sven Van Elshocht, Yohan Barbarin, Kristof Croes, E. Vancoille, Zsolt Tőkei, and Yong Kong Siew
- Subjects
Materials science ,Hybrid physical-chemical vapor deposition ,Diffusion barrier ,Inorganic chemistry ,chemistry.chemical_element ,Manganese ,Dielectric ,Combustion chemical vapor deposition ,Copper ,Electronic, Optical and Magnetic Materials ,chemistry ,Plasma-enhanced chemical vapor deposition ,Electrical and Electronic Engineering ,Plasma processing - Published
- 2012
- Full Text
- View/download PDF
14. Cu Wire resistance improvement using Mn-based Self-Formed Barriers
- Author
-
Jürgen Bömmels, Christopher J. Wilson, N. Jourdan, Yong Kong Siew, H. Ai, K. Croes, Zhiyuan Wu, Steven Demuynck, Baojun Tang, A. Cockburn, Zs. Tokei, D. Cellier, and Ivan Ciofi
- Subjects
Materials science ,chemistry ,Electrical resistivity and conductivity ,Scattering ,Metallurgy ,Copper interconnect ,chemistry.chemical_element ,Dielectric ,Manganese ,Conductivity ,Blanket ,Composite material ,Wire resistance - Abstract
Cu wire resistance reduction using CVD Mn-based Self-Formed Barrier (SFB) compared to conventional PVD barrier was investigated at 40 and 100nm half pitch (HP). Mn-based SFB leads to both (1) maximum fractional Cu area in the trenches and (2) Cu resistivity reduction at scaled dimensions. This represents a breakthrough for future interconnect scaling. Blanket Cu experiments suggest that the Cu resistivity reduction in the case of Mn-based SFB can be attributed to lower surface scattering at the dielectric/Cu interface. Finally, promising reliability has been demonstrated in 20nm HP single damascene (SD) SiO 2 trenches integrated with Mn-based SFB.
- Published
- 2014
- Full Text
- View/download PDF
15. Alternative metals for advanced interconnects
- Author
-
Sven Van Elshocht, Kiroubanand Sankaran, Mihaela Popovici, Geoffrey Pourtois, Liang Gong Wen, Christoph Adelmann, Antony Premkumar Peter, Yong Kong Siew, Jürgen Bömmels, Johan Swerts, Zsolt Tokei, and Kristof Croes
- Subjects
Materials science ,Physics ,Metallurgy ,Engineering physics ,Electromigration ,Engineering sciences. Technology - Abstract
We discuss the selection criteria for alternative metals in order to fulfill the requirements necessary for interconnects at half pitch values below 10 nm. The performance of scaled interconnects using transition metal germanides and CoAl alloys as metallization are studied and compared to conventional Cu and W interconnects.
- Published
- 2014
16. Void nucleation and growth during electromigration in 30 nm wide Cu lines: Impact of different interfaces on failure mode
- Author
-
J. Bommcls, Alex Yoon, Tomoyuki Kirimura, K. Croes, M. H. van der Veen, Z. Ei-Mekki, Zs. Tokei, Kris Vanstreels, Dries Dictus, Artur Kolics, Yong Kong Siew, and P. Czarnecki
- Subjects
Void (astronomy) ,Materials science ,Metallurgy ,Tantalum ,Nucleation ,chemistry.chemical_element ,Dielectric ,Thermal diffusivity ,Electromigration ,Copper ,Metal ,chemistry ,visual_art ,visual_art.visual_art_medium ,Composite material - Abstract
We investigate void nucleation and growth during electromigration in 30 nm half pitch Cu lines. Diffusion interfaces are varied a) by using SiCN dielectric cap or a CoWP metal cap and b) by tuning the thickness of TaN/Ta barrier metal. The developed local sense EM test method and in-situ EM observations allow understanding void nucleation and growth stages. For the SiCN cap, independent of barrier thickness, there are two void growth modes sensitive to grain structure. In contrast, for the CoWP cap, a single mode independent of the grain structure is observed, where a nucleated void is pinned in the test line. We also show that Co diffuses into the interface between the barrier metal and Cu, and suppresses Cu diffusivity at that interface. As both Cu diffusivities at the cap and barrier interfaces are suppressed by the presence of Co, a CoWP cap is beneficial to electromigration for advanced interconnects where thinner barrier metals are required.
- Published
- 2013
- Full Text
- View/download PDF
17. CVD Mn-based self-formed barrier for advanced interconnect technology
- Author
-
A. Cockburn, Jürgen Bömmels, Zs. Tokei, Jennifer Tseng, Murali Narasimhan, Yohan Barbarin, P. Wang, H. Ai, N. Jourdan, Yong Kong Siew, J. Machillot, Steven Demuynck, K. Croes, Mehul Naik, J. Tang, and M. Abraham
- Subjects
Reliability (semiconductor) ,Materials science ,business.industry ,Electronic engineering ,Optoelectronics ,Time-dependent gate oxide breakdown ,Interconnect technology ,Planar capacitor ,Chemical vapor deposition ,RC time constant ,business ,Thin oxide ,Scaling - Abstract
CVD Mn-based self-formed barrier (SFB) has been evaluated and integrated for reliability and RC delay assessment. Intrinsic TDDB lifetimes were extracted from planar capacitor measurement. A comparable lifetime as the TaN/Ta reference was obtained on SiO2 and porous low-k with a thin oxide liner. Good reliability performance was demonstrated after integration. Compared to conventional barrier, significant RC reduction (up to 45% at 40nm half pitch) and lower via resistance which become more beneficial upon scaling present CVD Mn-based SFB as an attractive candidate for future interconnect technology.
- Published
- 2013
- Full Text
- View/download PDF
18. Impact of advanced patterning options, 193nm and EUV, on local interconnect performance
- Author
-
Yong-Kong Siew, Michele Stucchi, Zsolt Tokei, and Steven Demuynck
- Subjects
Coupling ,Interconnection ,Materials science ,Extreme ultraviolet lithography ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Electrical wire ,Multiple patterning ,Overlay ,RC time constant ,Capacitance - Abstract
The aim of this paper is to predict the performance of local interconnects, manufactured by advanced patterning options as double patterning and EUV lithography. Electrical wire parameters as resistance, capacitance, RC delay and coupling between adjacent wires are extracted by simulation from scaled 2-D interconnect models, calibrated with dimensions and electrical parameters measured on simple test structures. CD and overlay variations of each patterning option are estimated from experimental and ITRS data and are included in the models. The extracted wire parameters allow the comparison between the patterning options and indicate the optimal choice for the next technology nodes.
- Published
- 2012
- Full Text
- View/download PDF
19. Copper electromigration failure times evaluated over a wide range of voiding phases
- Author
-
Zsolt Tokei, Yong Kong Siew, Tomoyuki Kirimura, Kristof Croes, and Yunlong Li
- Subjects
Void (astronomy) ,Materials science ,chemistry ,Copper interconnect ,Electronic engineering ,chemistry.chemical_element ,Activation energy ,Composite material ,Electromigration ,Copper ,Line width - Abstract
Electromigration failure times of 100 nm wide dual damascene Cu interconnects have been evaluated over a very wide range of different stages of void formation and growth. Voids that did not span the whole line width and height have been monitored using the so-called local sense structures, while standard single via structures were used to study fully grown voids. The activation energy E a did not change over the whole experimental range of failure times indicating that the main diffusion path during void formation and growth does not change in our semi-bamboo lines. The earlier reported increase in distributional spread σ after full void formation is less pronounced during void formation which is due to different kinetics before and after full void formation. The use of defining failure criteria before full void formation has been explored as a tool to reduce electromigration test times. Due to the constant E a , test times can be reduced by over a factor of two.
- Published
- 2012
- Full Text
- View/download PDF
20. Line Edge Roughness (LER) correlation and dielectric reliability with Spacer-Defined Double Patterning (SDDP) at 20nm half pitch
- Author
-
Gerald Beyer, Philippe Roussel, Michele Stucchi, J. Versluijs, Yong Kong Siew, Marianna Pantouvaki, Eddy Kunnen, and Zsolt Tokei
- Subjects
Materials science ,Dielectric reliability ,Dielectric strength ,business.industry ,Etching (microfabrication) ,Multiple patterning ,Electronic engineering ,Optoelectronics ,Interconnect scaling ,Time-dependent gate oxide breakdown ,Line edge roughness ,business ,Lithography - Abstract
50% Line Edge Roughness (LER) correlation has been observed after spacer formation in 20nm half pitch (HP) interconnects using Spacer- Defined Double Patterning (SDDP) approach. This correlation has a positive impact on Time-Dependent Dielectric Breakdown (TDDB) lifetime, which was also predicted by simulations. Comparison of TDDB lifetime for SDDP patterned 20nm HP and Litho-Etch-Litho-Etch (LELE) patterned 35nm HP Cu interconnects confirms that the SDDP approach offers potential benefits for TDDB lifetime, which enable future interconnect scaling.
- Published
- 2011
- Full Text
- View/download PDF
21. Reliability performance of advanced metallization options for 30nm ½ pitch in SiCOH low-k materials
- Author
-
Nancy Heylen, Steven Demuynck, Gerald Beyer, Zs. Tokei, K. Croes, Yong Kong Siew, and Christopher J. Wilson
- Subjects
Materials science ,Reliability (semiconductor) ,business.industry ,Electric breakdown ,Electronic engineering ,Optoelectronics ,Time-dependent gate oxide breakdown ,Chemical vapor deposition ,Activation energy ,business ,Electromigration - Abstract
Metallization options to fill 30nm ½ pitch trenches have been explored and their Time-Dependent-Dielectric-Breakdown (TDDB) and electromigration (EM) performance have been benchmarked to the conventional PVD TaNTa / PVD Cu seed based metallization. CVD Co as seed enhancement layer shows no deterioration in TDDB performance and improved EM performance, but the activation energy for EM was 0.68±0.20eV, which is at the lower end of the expected value of 0.85–0.95eV for this parameter. PVD RuTa barriers with 90%Ru and 10%Ta show degraded barrier performance and significant lowering of activation energy for EM (0.59±0.05eV). Finally, it is shown that, using an optimized PVD Cu seed, standard PVD TaNTa-barriers give excellent TDDB performance and that typical EM lifetime specs can be met with this metallization scheme down to 30nm ½ pitch.
- Published
- 2011
- Full Text
- View/download PDF
22. Study of void formation kinetics in Cu interconnects using local sense structures
- Author
-
Zs. Tokei, Melina Lofrano, K. Croes, Christopher J. Wilson, Gerald Beyer, Yong Kong Siew, and L. Carbonell
- Subjects
Void (astronomy) ,Crystallography ,Drift velocity ,Materials science ,Test structure ,Kinetics ,Astrophysics::Cosmology and Extragalactic Astrophysics ,Conductivity ,Composite material ,The Void ,Electromigration ,Order of magnitude - Abstract
A test structure that allows the study of void formation kinetics during electromigration is proposed and characterized. Compared to a standard single-via electromigration test structure voltage-senses are placed near the via. This allows monitoring resistance changes before final void formation, while the void formation process is not affected. Part of the samples show single void formation, while for other samples, multiple voids are formed. For the single void case, a model is proposed to calculate void-depth as a function of time. Initially, voids grow faster and this growth slows down towards the end of the void formation process. Estimated velocities during void formation are in the same order of magnitude compared to literature results of drift velocities during void growth. Cases where multiple voids are formed show that voids which initially form further away from the via stop growing upon formation of a void closer to the via.
- Published
- 2011
- Full Text
- View/download PDF
23. Spacer defined double patterning for (sub-)20nm half pitch single damascene structures
- Author
-
Yong Kong Siew, Janko Versluijs, Eddy Kunnen, Harold Dekkers, G. Beyer, Diziana Vangoidsenhoven, Vincent Wiaux, and Steven Demuynck
- Subjects
Interconnection ,Materials science ,Resist ,business.industry ,Extreme ultraviolet lithography ,Copper interconnect ,Multiple patterning ,Optoelectronics ,Nanotechnology ,Wafer ,business ,Immersion lithography ,Next-generation lithography - Abstract
The spacer defined double patterning (SDDP) approach for 20nm half pitch (HP) single damascene Cu interconnect structures using immersion lithography is being reviewed. Final results on wafer will be shown, focusing on critical double patterning topics such as CD & overlay budget and line edge roughness (LER); and their impact on the electrical functioning of the back-end-of-line test structures. The feasibility of extending the SDDP technique down to 15nm HP structures is also discussed. The 30nm line/space structures patterned in resist, required as a starting point for this exercise, will be patterned using EUV lithography.
- Published
- 2011
- Full Text
- View/download PDF
24. Integration of 20nm half pitch single damascene copper trenches by spacer-defined double patterning (SDDP) on metal hard mask (MHM)
- Author
-
Herbert Struyf, Ivan Ciofi, Deenesh Padhi, Harold Dekkers, Erik Sleeckx, Gerald Beyer, Virginie Gravey, Wilfried Alaerts, Eddy Kunnen, M. Maenhoudt, J. Versluijs, Yong Kong Siew, Kavita Shah, Atif Noori, Els Van Besien, A. Cockburn, Samuel Suhard, and Henny Volders
- Subjects
Materials science ,Audio time-scale/pitch modification ,Copper interconnect ,chemistry.chemical_element ,Nanotechnology ,STRIPS ,law.invention ,Resist ,chemistry ,law ,Multiple patterning ,Silicon oxide ,Tin ,Immersion lithography - Abstract
Spacer defined double patterning (SDDP) enables further pitch scaling using 193nm immersion lithography. This work aims to design and generate 20nm half pitch (HP) back-end-of-line test structures for single damascene metallization using SDDP with a 3-mask flow. We demonstrated patterning and metallization of 20nm HP trenches in silicon oxide with TiN metal hard mask (MHM).
- Published
- 2010
- Full Text
- View/download PDF
25. Integration of a poisoning-free dual damascene CDO film stack for 90 nm & beyond low-k BEOL
- Author
-
Wei Lu, Juan Boon Tan, Bei Chao Zhang, Yong Kong Siew, Shyam Pal, Fan Zhang, Liang Choo Hsia, Wu Ping Liu, Hai Cong, and Xian Bin Wang
- Subjects
Materials science ,business.industry ,Wide-bandgap semiconductor ,Copper interconnect ,Chemical vapor deposition ,Photoresist ,chemistry.chemical_compound ,Resist ,Stack (abstract data type) ,chemistry ,Etching (microfabrication) ,Silicon carbide ,Electronic engineering ,Optoelectronics ,business - Abstract
In this paper we report on the successful integration of a 90nm low-k full VIA-first dual damascene process architecture using carbon-doped-oxide (CDO) and SiC etch-stop-layer (ESL). One of the key features of the integration scheme is that the effects of photoresist poisoning have been eliminated by optimization of the low-k (k < 3.0) film stack deposition process. The mechanisms underlying photoresist poisoning have been investigated through detailed partition studies. Electrical yield and reliability data will be shown to demonstrate the performance of the overall integration approach.
- Published
- 2005
- Full Text
- View/download PDF
26. Challenges for Scaled Damascene Interconnects
- Author
-
Silvia Armini, Johan Swerts, Nicolas Jourdan, Yong Kong Siew, Juergen Boemmels, Zsolt Tokei, and Herbert Struyf
- Abstract
not Available.
- Published
- 2013
- Full Text
- View/download PDF
27. Line Edge Roughness (LER) correlation and dielectric reliability with Spacer-Defined Double Patterning (SDDP) at 20nm half pitch.
- Author
-
Yong Kong Siew, Stucchi, M., Versluijs, J., Roussel, P., Kunnen, E., Pantouvaki, M., Beyer, G.P., and Tokei, Z.
- Published
- 2011
- Full Text
- View/download PDF
28. Integration of 20nm half pitch single damascene copper trenches by spacer-defined double patterning (SDDP) on metal hard mask (MHM).
- Author
-
Yong Kong Siew, Versluijs, J., Kunnen, E., Ciofi, I., Alaerts, W., Dekkers, H., Volders, H., Suhard, S., Cockburn, A., Sleeckx, E., Van Besien, E., Struyf, H., Maenhoudt, M., Noori, A., Padhi, D., Shah, K., Gravey, V., and Beyer, G.
- Published
- 2010
- Full Text
- View/download PDF
29. Integration of a poisoning-free dual damascene CDO film stack for 90 nm & beyond low-k BEOL.
- Author
-
Wu Ping Liu, Juan Boon Tan, Wei Lu, Shyam Pal, Yong Kong Siew, Hai Cong, Bei Chao Zhang, Xian Bin Wang, Fan Zhang, and Liang Choo Hsia
- Published
- 2005
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.