32 results on '"Marie Garcia Bardon"'
Search Results
2. Automatic Regression Framework for MRAM Compact Models Calibration including Stochasticity.
- Author
-
Pierre Graindorge, Bowen Wang, Marie Garcia Bardon, and Fernando García-Redondo
- Published
- 2024
- Full Text
- View/download PDF
3. STT-MRAM Stochastic and Defects-aware DTCO for Last Level Cache at Advanced Process Nodes.
- Author
-
Fernando García-Redondo, S. Rao, M. Gupta, Manu Perumkunnil, Y. Xiang, D. Abdi, Simon Van Beek, S. Couet, and Marie Garcia Bardon
- Published
- 2023
- Full Text
- View/download PDF
4. A DTCO Framework for 3D NAND Flash Readout.
- Author
-
Mattia Gerardi, Arvind Sharma, Yang Xiang, Jakub Kaczmarek, Fernando García-Redondo, Maarten Rosmeulen, and Marie Garcia Bardon
- Published
- 2024
- Full Text
- View/download PDF
5. Design exploration of IGZO diode based VCMA array design for Storage Class Memory Applications.
- Author
-
Mohit Gupta 0004, Manu Perumkunnil, Andrea Fantini, Saeideh Alinezhad Chamazcoti, Woojin Kim, Marie Garcia Bardon, Gouri Sankar Kar, and Arnaud Furnémont
- Published
- 2022
- Full Text
- View/download PDF
6. PPA and Scaling Potential of Backside Power Options in N2 and A14 Nanosheet Technology.
- Author
-
S. Yang, Pieter Schuddinck, Marie Garcia Bardon, Yang Xiang, Anabela Veloso, B. T. Chan, Gioele Mirabelli, Gaspard Hiblot, Geert Hellings, and Julien Ryckaert
- Published
- 2023
- Full Text
- View/download PDF
7. Understanding the memory window in 1T-FeFET memories: a depolarization field perspective.
- Author
-
K. Kaczmarek, Marie Garcia Bardon, Y. Xiang, Laurent Breuil, Nicolo Ronchi, Bertrand Parvais, Guido Groeseneken, and Jan Van Houdt
- Published
- 2021
- Full Text
- View/download PDF
8. Holisitic device exploration for 7nm node.
- Author
-
Praveen Raghavan, Marie Garcia Bardon, Doyoung Jang, P. Schuddinck, Dmitry Yakimets, Julien Ryckaert, Abdelkarim Mercha, Naoto Horiguchi, Nadine Collaert, Anda Mocuta, Dan Mocuta, Zsolt Tokei, Diederik Verkest, Aaron Thean, and An Steegen
- Published
- 2015
- Full Text
- View/download PDF
9. Dimensioning for power and performance under 10nm: The limits of FinFETs scaling.
- Author
-
Marie Garcia Bardon, P. Schuddinck, Praveen Raghavan, Doyoung Jang, Dmitry Yakimets, Abdelkarim Mercha, Diederik Verkest, and Aaron Thean
- Published
- 2015
- Full Text
- View/download PDF
10. Lateral NWFET optimization for beyond 7nm nodes.
- Author
-
Dmitry Yakimets, Doyoung Jang, Praveen Raghavan, Geert Eneman, Hans Mertens, P. Schuddinck, Arindam Mallik, Marie Garcia Bardon, Nadine Collaert, Abdelkarim Mercha, Diederik Verkest, Aaron Thean, and Kristin De Meyer
- Published
- 2015
- Full Text
- View/download PDF
11. 5nm: Has the time for a device change come?
- Author
-
Praveen Raghavan, Marie Garcia Bardon, Peter Debacker, P. Schuddinck, Doyoung Jang, Rogier Baert, Diederik Verkest, and Aaron Voon-Yew Thean
- Published
- 2016
- Full Text
- View/download PDF
12. Design Technology co-optimization for N10.
- Author
-
Julien Ryckaert, Praveen Raghavan, Rogier Baert, Marie Garcia Bardon, Mircea Dusa, Arindam Mallik, Sushil Sakhare, Boris Vandewalle, Piet Wambacq, Bharani Chava, Kris Croes, Morin Dehan, Doyoung Jang, Philippe Leray 0002, Tsung-Te Liu, Kenichi Miyaguchi, Bertrand Parvais, Pieter Schuddinck, Philippe Weemaes, Abdelkarim Mercha, Jürgen Bömmels, Naoto Horiguchi, Greg McIntyre, Aaron Thean, Zsolt Tökei, Shaunee Cheng, Diederik Verkest, and An Steegen
- Published
- 2014
- Full Text
- View/download PDF
13. STI and eSiGe source/drain epitaxy induced stress modeling in 28 nm technology with replacement gate (RMG) process.
- Author
-
Doyoung Jang, Marie Garcia Bardon, Dmitry Yakimets, Kenichi Miyaguchi, An De Keersgieter, Thomas Chiarella, Romain Ritzenthaler, Morin Dehan, and Abdelkarim Mercha
- Published
- 2013
- Full Text
- View/download PDF
14. Comparison of NBTI aging on adder architectures and ring oscillators in the downscaling technology nodes.
- Author
-
Halil Kükner, Pieter Weckx, Sébastien Morrison, Jacopo Franco, Maria Toledano-Luque, Moonju Cho, Praveen Raghavan, Ben Kaczer, Doyoung Jang, Kenichi Miyaguchi, Marie Garcia Bardon, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, and Guido Groeseneken
- Published
- 2015
- Full Text
- View/download PDF
15. Circuit Design for Bias Compatibility in Novel FinFET-Based Floating-Body RAM.
- Author
-
Pavel Poliakov, Ankur Anchlia, Marie Garcia Bardon, Bram Rooseleer, Bart De Wachter, Nadine Collaert, Koen van der Zanden, Wim Dehaene, Diederik Verkest, and Miguel Corbalan Miranda
- Published
- 2010
- Full Text
- View/download PDF
16. Ge Devices: A Potential Candidate for Sub-5-nm Nodes?
- Author
-
P. Schuddinck, Jerome Mitard, Bertrand Parvais, Doyoung Jang, Alessio Spessot, Geert Eneman, Nadine Collaert, Neha Sharan, F. M. Bufler, D. Yakimets, Marie Garcia Bardon, Hiroaki Arimura, Anda Mocuta, Khaja Ahmad Shaik, and Electronics and Informatics
- Subjects
010302 applied physics ,Standard cell ,Materials science ,Silicon ,business.industry ,Transistor ,chemistry.chemical_element ,Germanium ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,law ,Electrical resistivity and conductivity ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Scaling ,Leakage (electronics) ,Nanosheet - Abstract
In this article, we explore different device and standard cell architectures for scaling the Germanium fin field-effect transistor (FinFET) and nanosheet (NS) at the sub-5-nm node. It is demonstrated that the Germanium device provides approximately 70% improvement in drive current and $3.4\times $ less device resistance. The main concern for Germanium devices remains the high leakage current due to the gate-induced drain leakage, which limits their usage to high-speed applications. Overall, Germanium devices require fewer boosters than silicon to scale beyond the 5-nm node. Contact resistivity is found to be a critical knob for Germanium and it can be relaxed to 3e $- 9\,\,\Omega $ -cm2 to meet the power and performance targets for the sub-5-nm node. Moving to the NS helps in relaxing this constraint further.
- Published
- 2019
- Full Text
- View/download PDF
17. Future Logic Scaling: Towards Atomic Channels and Deconstructed Chips
- Author
-
Serge Biesemans, S. B. Samavedam, Julien Ryckaert, Eric Beyne, Naoto Horiguchi, Alessio Spessot, Iuliana Radu, M. H. Na, Kurt G. Ronse, Marie Garcia Bardon, and Zsolt Tokei
- Subjects
010302 applied physics ,Standard cell ,Computer science ,Extreme ultraviolet lithography ,02 engineering and technology ,01 natural sciences ,020202 computer hardware & architecture ,Logic gate ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Node (circuits) ,Static random-access memory ,Cache ,Scaling ,Electronic circuit - Abstract
With each new node, cost and complexity of logic technology increases while being challenged to provide the historical expected improvement in performance. This paper reviews the latest trends and advances in technology to enable logic scaling. Dimensional scaling, enabled by EUV lithography, will continue with advances in multi-patterning. Higher costs of EUV multi-patterning will be mitigated by high (0.55) numerical aperture (NA) EUV simplifying the patterning and potentially leading to higher yield. Logic standard cell scaling below 6-track (6T) with adequate drive current per footprint will require adoption of Gate-All-Around (GAA) device architectures, like nanosheets, along with scaling boosters like buried power rails (BPR) and semi-damascene metal integration scheme with air-gaps. Scaling below 5-track (5T) will require new compact device architectures like complementary FETs (CFETs) and alternate intra-cell interconnect layouts. Slowing SRAM scaling can also benefit from migration to BPR, forksheets and CFETs. Channels formed from 2D materials can theoretically enable gate length (L g ) and contacted poly pitch (CPP) scaling. Several new material innovations will be needed to enable 2D atomic channel transistors. Changing our view from circuits to systems, 3D integration techniques will continue to enable subsystem scaling like cache partitioning of SoCs to improve memory access. Finally, a methodology to estimate the environmental impact of technology scaling choices is proposed.
- Published
- 2020
- Full Text
- View/download PDF
18. Understanding Energy Efficiency Benefits of Carbon Nanotube Field-Effect Transistors for Digital VLSI
- Author
-
P. Schuddinck, Max M. Shulaker, Romain Ritzenthaler, Alessio Spessot, Dimitrios Rodopoulos, Chi-Shuen Lee, Praveen Raghavan, Aaron Thean, Peter Debacker, Luca Mattii, Francky Catthoor, Syed Muhammed Yasser Sherazi, Marie Garcia Bardon, D. Yakimets, Rogier Baert, Gage Hills, Subhasish Mitra, H.-S. Philip Wong, Doyoung Jang, Gerben Doornbos, and Iuliana Radu
- Subjects
Technology ,Materials science ,Materials Science ,Nanowire ,Materials Science, Multidisciplinary ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,Physics, Applied ,law.invention ,VIRTUAL-SOURCE MODEL ,Engineering ,law ,0103 physical sciences ,carbon nanotube field-effect transistor (CNFET) ,LENGTH ,Hardware_INTEGRATEDCIRCUITS ,CONTACTS ,Parasitic extraction ,Nanoscience & Nanotechnology ,Electrical and Electronic Engineering ,010302 applied physics ,Very-large-scale integration ,Science & Technology ,Physics ,Carbon nanotube (CNT) ,Transistor ,Engineering, Electrical & Electronic ,021001 nanoscience & nanotechnology ,Chip ,Engineering physics ,Computer Science Applications ,energy-efficient digital very-large-scale integrated (VLSI) circuits ,CAPACITANCE ,FETS ,Logic gate ,Physical Sciences ,Science & Technology - Other Topics ,Field-effect transistor ,0210 nano-technology ,Efficient energy use - Abstract
© 2018 IEEE. Carbon Nanotube Field-Effect Transistors (CNFETs) are highly promising to improve the energy efficiency of digital logic circuits. Here, we quantify the Very-Large-Scale Integrated (VLSI) circuit-level energy efficiency of CNFETs versus advanced technology options (ATOs) currently under consideration [e.g., silicon-germanium (SiGe) channels and progressing from today's FinFETs to gate-all-around nanowires/nanosheets]. We use industry-practice physical designs of digital VLSI processor cores in future technology nodes with millions of transistors (including effects from parasitics and interconnect wires) and technology parameters extracted from experimental data. Our analysis shows that CNFETs are projected to offer 9× energy-delay product (EDP) benefit (∼3× faster while simultaneously consuming ∼3× less energy) compared to Si/SiGe FinFET. The ATOs provide
- Published
- 2018
- Full Text
- View/download PDF
19. Device Exploration of NanoSheet Transistors for Sub-7-nm Technology Node
- Author
-
Alessio Spessot, Diederik Verkest, Geert Eneman, Marie Garcia Bardon, P. Schuddinck, D. Yakimets, Anda Mocuta, Doyoung Jang, and Praveen Raghavan
- Subjects
010302 applied physics ,Engineering ,business.industry ,Capacitive sensing ,Transistor ,Electrical engineering ,Audio time-scale/pitch modification ,02 engineering and technology ,Ring oscillator ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Logic gate ,0103 physical sciences ,Node (circuits) ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Technology CAD - Abstract
In this paper, lateral gate-all-around nano-sheet transistors (NSH-FETs) are explored from intrinsic performance to dc and ring oscillator (RO) benchmark compared with FinFETs and nanowire transistors (NW-FETs) for sub-7-nm node. The band structure calculated technology computer aided design results show comparable intrinsic performance to FinFETs at same channel cross section. On top of that, dc and RO are evaluated by taking into account electrostatics, parasitic components, and layout configurations. The NSH-FETs show an advantage in drive current with the NSH width but their RO performance is limited by the device capacitance. The multiple narrow NSH-FET shows ~5% higher drive current compared to the NW-FET at similar subthreshold swing, allowing heavier capacitive loaded circuit. In addition, NSH-FETs can provide the device design freedom from aggressive fin pitch scaling.
- Published
- 2017
- Full Text
- View/download PDF
20. Ferroelectric switching in FEFET : physics of the atomic mechanism and switching dynamics in HfZrOx, HfO2 with oxygen vacancies and Si dopants
- Author
-
G. Van den bosch, Marie Garcia Bardon, Sergiu Clima, Geoffrey Pourtois, Barry O'Sullivan, J. Van Houdt, Nicolo Ronchi, and K. Banerjee
- Subjects
010302 applied physics ,Arrhenius equation ,Physics ,Dopant ,Condensed matter physics ,Relaxation (NMR) ,Doping ,01 natural sciences ,Ferroelectricity ,Switching time ,symbols.namesake ,Condensed Matter::Materials Science ,0103 physical sciences ,symbols ,Antiferroelectricity ,Polarization (electrochemistry) ,Engineering sciences. Technology - Abstract
The fine balance between dipole-field energy and anion drift force defines the switching mechanism during polarization reversal: for the first time we show that only Pbcm mechanism obeys the ferroelectric switching physics, whereas P4(2)/nmc (or any other) mechanism does not. However, with lower energy barrier, it represents an important antiferroelectric mechanism. Constraints relaxation can lead to 90 degrees polarization rotation (domain deactivation). Intrinsically, the Si/VO-doping can switch faster than undoped HfO2 or HfZrOx. Theoretical Arrhenius model / intrinsic material switching (DFT) overestimates the switching speed extracted from experiments.
- Published
- 2020
21. Trap-Aware Compact Modeling and Power-Performance Assessment of III-V Tunnel FET
- Author
-
Andreas Schenk, Guido Groeseneken, Elvedin Memisevic, Marie Garcia Bardon, Bertrand Parvais, Anne S. Verhulst, Lars-Erik Wernersson, Y. Xiang, D. Yakimets, and Saurabh Sant
- Subjects
010302 applied physics ,Test bench ,Materials science ,business.industry ,Spice ,Oxide ,Heterojunction ,02 engineering and technology ,Ring oscillator ,021001 nanoscience & nanotechnology ,01 natural sciences ,Trap (computing) ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,III-V tunnel FET ,compact modeling ,SPICE simulation ,device traps ,logic circuits ,power-performance metrics ,Quantum tunnelling - Abstract
We report, for the first time, on a SPICE simulation study of the circuit-level power-performance impact of device traps in a state-of-the-art III-V heterojunction tunnel FET (TFET). First, the individual parasitic effects of junction bulk traps and oxide interface traps are incorporated in a compact model and validated against measurement-calibrated TCAD data, where we propose an analytical formulation for trap-assisted tunneling at the heterojunction and account for the oxide interface charge with a look-up table. Then, the model is used in SPICE simulations on a ring oscillator test bench to predict the impact of traps on logic circuits. It is found that bulk and oxide traps in TFET together cause up to ~5x iso-frequency energy penalty in the desired low-supply-voltage domain (≤0.50V), of which oxide traps dominate at high switching activity while bulk and oxide traps contribute comparably when switching is less active. This study quantitatively suggests that trap reduction is the key to the enablement of the full benefit of TFET. ispartof: 2018 IEEE S3S Conference Final Proceedings ispartof: IEEE SOI-3D-Subthreshold Microelectronics Technolology Unified Conference (S3S) location:Burlingame, CA, USA date:15 Oct - 17 Oct 2018 status: published
- Published
- 2018
- Full Text
- View/download PDF
22. Vertical GAAFETs for the Ultimate CMOS Scaling
- Author
-
Aaron Thean, Geert Eneman, Anabela Veloso, Kristin De Meyer, Praveen Raghavan, P. Schuddinck, Trong Huynh Bao, Diederik Verkest, Abdelkarim Mercha, D. Yakimets, Nadine Collaert, and Marie Garcia Bardon
- Subjects
Engineering ,business.industry ,Electrical engineering ,Ring oscillator ,Capacitance ,Cmos scaling ,Electronic, Optical and Magnetic Materials ,Logic gate ,Line (geometry) ,Scalability ,Electronic engineering ,Node (circuits) ,Electrical and Electronic Engineering ,business ,Energy (signal processing) - Abstract
In this paper, we compare the performances of FinFETs, lateral gate-all-around (GAA) FETs, and vertical GAAFETs (VFETs) at 7-nm node dimensions and beyond. Comparison is done at ring oscillator level accounting not only for front-end of line devices but also for interconnects. It is demonstrated that FinFETs fail to maintain the performance at scaled dimensions, while VFETs demonstrate good scalability and eventually outperform lateral devices both in speed and power consumption. Lateral GAAFETs show better scalability with respect to FinFETs but still consume 35% more energy per switch than VFETs if made under 5-nm node design rules.
- Published
- 2015
- Full Text
- View/download PDF
23. The impact of sequential-3D integration on semiconductor scaling roadmap
- Author
-
Yasser Sherazi, Peter Debacker, Praveen Raghavan, Liesbeth Witters, Dan Mocuta, Nadine Collaert, J. Franco, D. Yakimets, Anda Mocuta, Anne Vandooren, Marie Garcia Bardon, Amey Mahadev Walke, Pieter Weckx, Arindam Mallik, J. Ryckaert, Bon Woong Ku, Bertrand Parvais, Sung Kyu Lim, Vrije Universiteit Brussel, Electronics and Informatics, and Faculty of Engineering
- Subjects
Computer science ,Integration ,02 engineering and technology ,01 natural sciences ,law.invention ,Cost of ownership ,law ,Design Technology Cointegration ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Materials Chemistry ,Electrical and Electronic Engineering ,Scaling ,010302 applied physics ,business.industry ,Transistor ,Condensed Matter Physics ,020202 computer hardware & architecture ,Reliability engineering ,Electronic, Optical and Magnetic Materials ,Semiconductor ,CMOS ,Logic gate ,Sequential 3D ,business - Abstract
The continued physical feature size scaling of CMOS transistors is experiencing asperities due to several factors (physical, technological, and economical), and it is expected to reach its boundary in the coming years. Sequential-3D (S3D) integration has been perceived as a promising alternative to continue the benefits offered by semiconductor scaling. This paper addresses the different variants of S3D integration and potential challenges to achieve a realizable solution. We analyze and quantify the benefits observed due to sequential scaling at a die level.
- Published
- 2018
- Full Text
- View/download PDF
24. Stacked nanosheet fork architecture for SRAM design and device co-optimization toward 3nm
- Author
-
P. Schuddinck, Lars-Ake Ragnarsson, A. De Keersgieter, Pieter Weckx, Anda Mocuta, Praveen Raghavan, Alessio Spessot, R. Kim, V. Putcha, Marie Garcia Bardon, Juergen Boemmels, D. Yakimets, Doyoung Jang, Julien Ryckaert, and Diederik Verkest
- Subjects
010302 applied physics ,Computer science ,Audio time-scale/pitch modification ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Fork (software development) ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Static random-access memory ,Architecture ,0210 nano-technology ,Scaling ,AND gate ,Nanosheet - Abstract
This paper discusses SRAM scaling beyond the 5nm technology node and highlights the fundamental scaling limits due to FinFET and Gate all-around (GAA) technology. To compensate for expected gate pitch scaling slowdown below 42nm, several scaling boosters are needed to reduce the cell height. However, limited scaling benefits can be achieved in FinFET and GAA technology. Therefore, a novel vertically stacked lateral nanosheet architecture using a forked gate structure is proposed showing superior performance and area scaling compared to FinFET and GAA devices. Moreover, limited additional processing complexity can be achieved. The Fork architecture allows 20% SRAM area scaling at isoperformance and 30% performance increase at iso-area compared to FinFET beyond 5nm technology node.
- Published
- 2017
- Full Text
- View/download PDF
25. Low track height standard cell design in iN7 using scaling boosters
- Author
-
Diederik Verkest, Dimitrios Rodopoulos, P. Schuddinck, J. Ryckaert, Bharani Chava, C. Jha, Peter Debacker, Marie Garcia Bardon, Syed Muhammad Yasser Sherazi, R. H. Kim, L. Matti, Vassilios Gerousis, Praveen Raghavan, Anda Mocuta, and Alessio Spessot
- Subjects
010302 applied physics ,Standard cell ,Materials science ,business.industry ,02 engineering and technology ,Cell design ,Self-aligned gate ,021001 nanoscience & nanotechnology ,Track (rail transport) ,01 natural sciences ,CMOS ,0103 physical sciences ,Optoelectronics ,Layer (object-oriented design) ,0210 nano-technology ,business ,Scaling - Abstract
In this paper, standard cell design for iN7 CMOS platform technology targeting the tightest contacted poly pitch (CPP) of 42 nm and a metal pitch of 32 nm in the FinFET technology is presented. Three standard cell architectures for iN7, a 7.5-Track library, 6.5-Track library, and 6-Track library have been designed. Scaling boosters are introduced for the libraries progressively: first an extra MOL layer to enable an efficient layout of the three libraries starting with 7.5-Track library; second, fully self aligned gate contact is introduced for 6.5 and 6-Track library and third, 6-Track cell design includes a buried rail track for supply. The 6-Track cells are on average 5% and 45% smaller than the 6.5 and 7.5-Track cells, respectively.
- Published
- 2017
- Full Text
- View/download PDF
26. Si1-xGex-Channel PFETs: Scalability, Layout Considerations and Compatibility with Other Stress Techniques
- Author
-
Masaharu Kobayashi, Thomas Hoffmann, Roger Loo, C. Ortolland, Geert Eneman, Eddy Simoen, Hugo Bender, Liesbeth Witters, Geert Hellings, Mireia Bargallo Gonzalez, Corneel Claeys, Marie Garcia Bardon, Jacopo Franco, Shinji Takeoka, Kristin De Meyer, Raymond Krom, S. Yamaguchi, Jerome Mitard, Felice Crupi, Paola Favia, Andriy Hikavyy, and Phillip Christie
- Subjects
Computer science ,business.industry ,Scalability ,Compatibility (mechanics) ,Electrical engineering ,Parallel computing ,IBM ,business - Abstract
Si1-xGex-channel pFETs can combine enhanced intrinsic performance with a threshold voltage shift, therefore this technology possibly facilitates the use of high-k/metal gate stacks in high-performance applications. This review presents imec's work on a new device concept using Si1-xGex-channels, the implant-free quantum well transistor, that can additionally provide improved short-channel scalability, as well as further performance enhancement when compared to conventional silicon and Si1-xGex-channel pFETs. Furthermore, circuit simulations of Si1-xGex-channel pFETs indicate that this technology shows even more enhanced potential at reduced supply voltages, and also in circuits that allow operation at lower electric fields such as stacked transistors. Finally it is demonstrated that the layout sensitivity of Si1-xGex-channel pFETs is an important concern, especially for variations of the device width. The effectiveness of another stress technique, Si1-xGex Source/Drain, is shown to be decreased when used in combination with Si1-xGex-channel pFETs.
- Published
- 2011
- Full Text
- View/download PDF
27. Pseudo-Two-Dimensional Model for Double-Gate Tunnel FETs Considering the Junctions Depletion Regions
- Author
-
Hercules Pereira Neves, Marie Garcia Bardon, C. Van Hoof, and Robert Puers
- Subjects
Physics ,Condensed matter physics ,Transistor ,Field effect ,Tunnel field-effect transistor ,Electronic, Optical and Magnetic Materials ,law.invention ,Computational physics ,Tunnel effect ,law ,Electric field ,Field-effect transistor ,Electric potential ,Electrical and Electronic Engineering ,Quantum tunnelling - Abstract
This paper presents a pseudo-2-D surface potential model for the double-gate tunnel field-effect transistor (DG-TFET). Analytical expressions are derived for the 2-D potential, electric field, and generation rate, and used to numerically extract the tunneling current. The model predicts the device characteristics for a large range of parameters and allows gaining insight on the device physics. The depletion regions induced inside the source and drain are included in the solution, and we show that these regions become critical when scaling the device length. The fringing field effect from the gates on these regions is also included. The validity of the model is tested for devices scaled to 10-nm length with SiO2 and high-? dielectrics by comparison to 2-D finite-element simulations.
- Published
- 2010
- Full Text
- View/download PDF
28. Scaling the Suspended-Gate FET: Impact of Dielectric Charging and Roughness
- Author
-
Marie Garcia Bardon, Robert Puers, H. P. Neves, and C. Van Hoof
- Subjects
Materials science ,business.industry ,Gate dielectric ,Transistor ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Dielectric ,Subthreshold slope ,Electronic, Optical and Magnetic Materials ,law.invention ,International Technology Roadmap for Semiconductors ,CMOS ,Hardware_GENERAL ,law ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,business ,Hardware_LOGICDESIGN - Abstract
Suspended gate field-effect transistors (SG-FETs) with switching gates are interesting as digital logic switches because of their high I on/I off current ratio and their infinite subthreshold slope. However, the limits of scalability of the SG-FETs are still unclear. This paper investigates two effects that could limit scaling: the dielectric charging and the dielectric roughness. To do so, a surface-potential-based model for suspended gate transistors with a mechanically switching gate is presented and validated using experimental data. Devices fabricated in a standard complimentary metal-oxide-semiconductor process are used for the model assessment. The model reproduces the effect of a fixed charge and the effect of a nonideal contact of the gate after pull-in. We show that, at the device dimensions required to follow the International Technology Roadmap for Semiconductors, these effects will be critical.
- Published
- 2010
- Full Text
- View/download PDF
29. Post place and route design-technology co-optimization for scaling at single-digit nodes with constant ground rules
- Author
-
Bharani Chava, P. Schuddinck, Luca Mattii, Dimitrios Rodopoulos, Peter Debacker, Rogier Baert, Mladen Berekovic, Marie Garcia Bardon, Julien Ryckaert, Syed Muhammad Yasser Sherazi, Dragomir Milojevic, Vassilios Gerousis, and Praveen Raghavan
- Subjects
010302 applied physics ,Router ,Standard cell ,Computer science ,Mechanical Engineering ,02 engineering and technology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,020202 computer hardware & architecture ,Electronic, Optical and Magnetic Materials ,Design for manufacturability ,Computer engineering ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Node (circuits) ,Place and route ,Electrical and Electronic Engineering ,Power network design ,Block (data storage) ,Design technology - Abstract
Standard-cell design, technology choices, and place and route (P&R) efficiency are deeply interrelated in CMOS technology nodes below 10 nm, where lower number of tracks cells and higher pin densities pose increasingly challenging problems to the router in terms of congestion and pin accessibility. To evaluate and downselect the best solutions, a holistic design-technology co-optimization approach leveraging state-of-the-art P&R tools is thus necessary. We adopt such an approach using the imec N7 technology platform, with contacted poly pitch of 42 nm and tightest metal pitch of 32 nm, by comparing post P&R area of an IP block for different standard cell configurations, technology options, and cell height. Keeping the technology node and the set of ground rules unchanged, we demonstrate that a careful combination of these solutions can enable area gains of up to 50%, comparable with the area benefits of migrating to another node. We further demonstrate that these area benefits can be achieved at isoperformance with >20% reduced power. As at the end of the CMOS roadmap, conventional scaling enacted through pitch reduction is made more and more challenging by constraints imposed by lithography limits, material resistivity, manufacturability, and ultimately wafer cost, the approach shown herein offers a valid, attractive, and low-cost alternative.
- Published
- 2018
- Full Text
- View/download PDF
30. Scaling of BTI reliability in presence of time-zero variability
- Author
-
Maria Toledano-Luque, Rudy Lauwereins, Francky Catthoor, Halil Kukner, Marie Garcia Bardon, Ben Kaczer, Kenichi Miyaguchi, Doyoung Jang, Pieter Weckx, Jacopo Franco, Liesbet Van der Perre, Praveen Raghavan, Guido Groeseneken, and Moonju Cho
- Subjects
Physics ,Field (physics) ,business.industry ,Transistor ,law.invention ,Threshold voltage ,Reliability (semiconductor) ,Planar ,law ,Gate oxide ,Electronic engineering ,Optoelectronics ,business ,Scaling ,Communication channel - Abstract
In this paper, we first outline the impact of Bias Temperature Instability (BTI) on the transistor threshold voltage as a function of time and the gate oxide field. Subsequently, the correlation between time-zero and time-dependent variability is described. A combined distribution encompassing both contributions with their relative weights is derived. Finally, circuit-level insights on the BTI impact are given based on case study simulations of Ring Oscillators (ROs) at commercial-grade 28nm planar and research-grade 14, 10, 7nm FinFET technology nodes for several FET channel materials (e.g. Si, SiGe, Ge, InGaAs).
- Published
- 2014
- Full Text
- View/download PDF
31. Design Technology co-optimization for N10
- Author
-
Zsolt Tokei, Julien Ryckaert, Morin Dehan, Bertrand Parvais, Bharani Chava, Marie Garcia Bardon, Shaunee Cheng, Rogier Baert, Aaron Thean, Piet Wambacq, An Steegen, Sushil Sakhare, P. Schuddinck, K. Croes, Kenichi Miyaguchi, Praveen Raghavan, Diederik Verkest, Abdelkarim Mercha, Mircea Dusa, Tsung-Te Liu, Arindam Mallik, B. Vandewalle, G. McIntyre, Doyoung Jang, Naoto Horiguchi, Philippe Leray, P. Weemaes, Jürgen Bömmels, and Electronics and Informatics
- Subjects
Engineering ,business.industry ,Systems engineering ,Electrical engineering ,business ,Design technology - Abstract
Design-Technology co-optimization becomes a key knob to enable CMOS scaling. In this work we evaluate the technology options including lithography options as well as device options that are considered to enable N10 scaling by exploring their impact on representative designs such as standard cells, SRAM and analog contexts. This paper illustrates that the design angle needs to be considered early in the development of a technology node. This design assessment and decisions start from lithography constraints and options to power/performance, area and cost, all of which create the Design-Technology Co-Optimization space.
- Published
- 2014
- Full Text
- View/download PDF
32. Architectural strategies in standard-cell design for the 7 nm and beyond technology node
- Author
-
P. Schuddinck, Abdelkarim Mercha, Marie Garcia Bardon, Syed Muhammad Yasser Sherazi, Bharani Chava, Praveen Raghavan, Peter Debacker, Diederik Verkest, J. Ryckaert, and Farshad Firouzi
- Subjects
Standard cell ,Computer science ,Mechanical Engineering ,Metallurgy ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Capacitance ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,010309 optics ,Back end of line ,CMOS ,Computer architecture ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Multiple patterning ,Node (circuits) ,Electrical and Electronic Engineering ,Layer (object-oriented design) ,Photolithography ,0210 nano-technology - Abstract
Standard-cell design and characterization are presented for 7-nm CMOS platform technology targeting low-power and high-performance applications with the tightest contacted poly pitch of 42 nm and a metallization pitch of 32 nm in the FinFET technology. Two standard-cell architectures for 7 nm, a 9-track library and a 7.5-track library have been designed, introducing an extra middle-of-line layer to enable an efficient layout of the 7.5-track cells. The 7.5-track cells are on average smaller than the 9-track cells. With the strict design constraints imposed by self-aligned quadruple patterning and self-aligned double patterning, careful design and technology co-optimization is performed.
- Published
- 2016
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.