553 results on '"MASKS (Electronics)"'
Search Results
2. Highly selective electroplated nickel mask for lithium niobate dry etching.
- Author
-
Benchabane, Sarah, Robert, Laurent, Rauch, Jean-Yves, Khelif, Abdelkrim, and Laude, Vincent
- Subjects
- *
LITHIUM niobate , *PLASMA etching , *NICKEL , *MASKS (Electronics) , *ENERGY measurement , *PHOTORESISTS , *SPUTTERING (Physics) - Abstract
A sulfur hexafluoride based reactive ion etching process allowing to etch several micron deep holes with diameters of the order of a few microns in lithium niobate is reported. Etching of deep structures with aspect ratios up to 1.5 was made possible through the use of an electroplated nickel mask exhibiting a selectivity as high as 20 with respect to lithium niobate. Several crystallograpic orientations were investigated, although particular interest was paid to Y-axis oriented substrates. Photoresist as well as metal masks were also tested and their selectivity was compared. The influence of process parameters such as applied rf power or operating pressure on the sidewall slope angle of the etched patterns was investigated. The technique has been successfully applied to the fabrication of phononic crystals consisting of periodical arrays of 9 μm diameter, 10 μm deep holes, with a 10 μm period, and presenting sidewall angles as high as 73° etched in Y-axis oriented lithium niobate. [ABSTRACT FROM AUTHOR]
- Published
- 2009
- Full Text
- View/download PDF
3. Atomic force microscope based patterning of carbonaceous masks for selective area growth on semiconductor surfaces.
- Author
-
Avramescu, Adrian, Ueta, Akio, Uesugi, Katsuhiro, and Suemune, Ikuo
- Subjects
- *
MASKS (Electronics) , *ATOMIC force microscopy - Abstract
Reports that carbonaceous masks for selective growth on GaAs substrates were fabricated with high resolution by anodization with an atomic force microscope (AFM). Mask deposition made by accelerated electron-beam irradiation in a scanning electron microscope; Investigation of the local anodization of the carbonaceous film under intense electric field.
- Published
- 2000
- Full Text
- View/download PDF
4. フォトマスク
- Author
-
田邉功 and 田邉功
- Subjects
- Integrated circuits--Large scale integration, Masks (Electronics), Microlithography--Industrial applications
- Published
- 2011
5. Systematic tuning of magnetization reversal in Permalloy nanowires using sloped ends.
- Author
-
Petracic, O., Read, D. E., and Cowburn, R. P.
- Subjects
- *
NANOWIRES , *NANOSTRUCTURED materials , *KERR electro-optical effect , *DIELECTRICS , *ELECTROOPTICS , *MASKS (Electronics) , *HYSTERESIS loop - Abstract
The magnetization reversal of Permalloy (Ni81Fe19) nanowires has been investigated by magneto-optic Kerr effect (MOKE) magnetometry, where one end of the wire exhibits a slope in the thickness. Straight nanowires with a thickness of 7.5 nm, widths of 150 nm, and length of 100 μm were prepared by electron-beam lithography. The sloped ends were achieved by using a penumbra shadow mask during NiFe deposition. The topography of the wires has been studied by atomic force microscopy. One finds that the slope profile can be tuned by the position under the mask, mask-to-sample distance, and angle of deposition. Corresponding MOKE hysteresis loops show a systematic reduction of the coercive field with increasing length of the sloped part. For example, wires where the slope has a length of 45 μm exhibit a coercive field of 11 Oe, whereas nanowires without sloped ends show 107 Oe. [ABSTRACT FROM AUTHOR]
- Published
- 2007
- Full Text
- View/download PDF
6. Challenges of anamorphic high-NA lithography and mask making.
- Author
-
Hsu, Stephen D. and Jingjing Liu
- Subjects
ANAMORPHOSIS (Visual perception) ,LITHOGRAPHY ,MASKS (Electronics) ,INTEGRATED circuits ,NANOIMPRINT lithography - Abstract
Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in 'Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in 'Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in 'Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA singleexposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in 'Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., 'Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV 'Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10.1117/12.2086074). To ensure no assist feature printing, the assist feature sizes need to be scaled with λ/NA. The extremely small SRAF width (below 25 nm on the reticle) is difficult to fabricate across the full reticle. In this paper, we introduce an innovative 'attenuated SRAF' to improve SRAF manufacturability and still maintain the process window benefit. A new mask fabrication process is proposed to use existing mask-making capability to manufacture the attenuated SRAFs. The high-NA EUV system utilizes anamorphic reduction; 4× in the horizontal (slit) direction and 8× in the vertical (scanning) direction (J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., 'Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150; B. Kneer, S. Migura, W. Kaiser, J. T. Neumann, J. van Schoot, in 'Proc. SPIE9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94221G (2015) doi: 10.1117/12.2175488). For an anamorphic system, the magnification has an angular dependency, and thus, familiar mask specifications such as mask error factor (MEF) need to be redefined. Similarly, mask-manufacturing rule check (MRC) needs to consider feature orientation. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
7. EUV mask defectivity – a process of increasing control toward HVM.
- Author
-
Jonckheere, Rik
- Subjects
EXTREME ultraviolet lithography ,MASKS (Electronics) ,OPTICAL multilayers ,MANUFACTURING defects ,OPTICAL properties of particles - Abstract
This article covers the various aspects of defectivity of a typical mask used for extreme ultra-violet (EUV) lithography. The focus of the present article is on those aspects that are more specific for EUV lithography. A prime type of defect that fully falls under the really EUV-specific category consists of the so-called multilayer defects (ML-defects): these defects relate to the ML mirror on the mask, which makes it reflective. While not specific, particle contamination plays a special role in EUV lithography and includes two aspects: both front- and backside of an EUV mask have peculiarities beyond historical deep-UV lithography. Frontside particles can print when they exceed a critical size and, while solvable as in (deep)-UV lithography by means of a pellicle, there are specific challenges. Backside particles can distort a clamped EUV reticle, and the resulting local non-flatness of the reticle may result in focus and overlay errors on the wafer. A last aspect that requires special attention for EUVL can be categorized under reticle degradation by extensive use. That includes the high-volume manufacturing-oriented conditions of high source power needed to obtain competitive throughput. For each aspect of EUV mask defectivity, and hence each type of defect, the paper reviews how the community tackles them and how their possible impact on the result of wafer printing with a given EUV reticle is minimized. This includes a summary of the authors' own contribution to related learning and developments. Finally, a personal interpretation is given of what are the remaining open items before a workable or full solution can be considered in place. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
8. Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography.
- Author
-
Erdmann, Andreas, Dongbo Xu, Evanschitzky, Peter, Philipsen, Vicky, Vu Luong, and Hendrickx, Eric
- Subjects
EXTREME ultraviolet lithography ,OPTICAL reflection ,DIFFRACTION patterns ,MASKS (Electronics) ,OPTICAL aberrations - Abstract
The reflection and diffraction of extreme ultraviolet (EUV) light from lithographic masks and the projection imaging of these masks by all-reflective systems introduce several significant imaging artifacts. The off-axis illumination of the mask causes asymmetric shadowing, a size bias between features with different orientations and telecentricity errors. The image contrast varies with the feature orientation and can easily drop far below intuitively expected values. The deformation of the wavefront or phase of the incident light by thick absorbers generates aberration-like effects, especially variations of the best-focus (BF) position vs. the pitch and size of the imaged patterns. Partial reflection of light from the top of the absorber generates a weak secondary image, which superposes with the main image. Based on a discussion of the root causes of these phenomena, we employ mask diffraction and imaging analysis for a quantitative analysis of these effects for standard EUV masks. Simulations for various non-standard types of mask stacks (e.g. etched multilayers, buried shifters, etc.) and for various non-standard absorber materials are used to explore the imaging capabilities of alternative masks for EUV lithography. Finally, an outlook at anamorphic systems for larger numerical apertures is given. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
9. Development and performance of EUV pellicles.
- Author
-
Brouns, Derk
- Subjects
EXTREME ultraviolet lithography ,LITHOGRAPHY ,MASKS (Electronics) ,MANUFACTURING defects ,RETICLES ,OPTICAL instruments - Abstract
In a lithography process, an image on a mask (reticle) is projected onto a wafer. Continuous decrease in feature sizes also led to a reduction in the wavelength used for exposing. The next step is the move from 193-nm light to extreme ultra-violet (EUV) at 13.5 nm. This poses a lot of challenges that have been overcome in the past years. One of these challenges is the protection of the reticle from front side defects. This protection can be achieved by the use of an EUV pellicle. This is a thin membrane that protects particles from landing on the reticle surface, as will be explained in more detail later. With multiple semiconductor manufacturers preparing for volume EUV manufacturing, the need for a volume production-ready pellicle solution is here today. This article gives an overview of the performance of the current EUV pellicle solution and the status of the development of future EUV pellicles. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
10. Realization of III-V Semiconductor Periodic Nanostructures by Laser Direct Writing Technique.
- Author
-
Huang, Yuan-qing, Huang, Rong, Liu, Qing-lu, Zheng, Chang-cheng, Ning, Ji-qiang, Peng, Yong, and Zhang, Zi-yang
- Subjects
NANOSTRUCTURES ,PHOTORESISTS ,MICROELECTRONICS ,MASKS (Electronics) ,GALLIUM alloys - Abstract
In this paper, we demonstrated the fabrication of one-dimensional (1D) and two-dimensional (2D) periodic nanostructures on III-V GaAs substrates utilizing laser direct writing (LDW) technique. Metal thin films (Ti) and phase change materials (GeSbTe (GST) and GeSbBiTe (GSBT)) were chosen as photoresists to achieve small feature sizes of semiconductor nanostructures. A minimum feature size of about 50 nm about a quarter of the optical diffraction limit was obtained on the photoresists, and 1D III-V semiconductor nanolines with a minimum width of 150 nm were successfully acquired on the GaAs substrate which was smaller than the best results acquired on Si substrate ever reported. 2D nanosquare holes were fabricated as well by using Ti thin film as the photoresist, with a side width of about 200 nm, but the square holes changed to a rectangle shape when GST or GSBT was employed as the photoresist, which mainly resulted from the interaction of two cross-temperature fields induced by two scanning laser beams. The interacting mechanism of different photoresists in preparing periodic nanostructures with the LDW technique was discussed in detail. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
11. Code Compression for Embedded Systems Using Separated Dictionaries.
- Author
-
Wang, Wei Jhih and Lin, Chang Hong
- Subjects
EMBEDDED computer systems ,DATA compression ,MASKS (Electronics) ,COMPUTER architecture ,COMPUTER storage devices - Abstract
Engineers must consider performance, power consumption, and cost when designing embedded digital systems; furthermore, memory is a key factor in such systems. Code compression is a technique used in embedded systems to reduce the memory usage. BitMask-based code compression is a modified version of dictionary-based code compression. The basic purpose of BitMask is to record mismatched values and their positions to compress a greater number of instructions; it can be used exclusively or incorporated with the reference instructions to decode the codewords. In this paper, we applied a small separated dictionary, and variable mask numbers were used with the BitMask algorithm to reduce the codeword length of high-frequency instructions. In addition, a novel dictionary selection algorithm was proposed to increase the instruction match rates. The fully separated dictionary method was used to improve the performance of the decompression engine without affecting the compression ratio (CR) (the compressed code size divided by original code size). Based on the experimental results, the proposed method can achieve a 7.5% improvement in the CR with nearly no hardware overhead. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
12. Photomask Fabrication Technology
- Author
-
Benjamin G. Eynon, Banqiu Wu, Benjamin G. Eynon, and Banqiu Wu
- Subjects
- Microlithography, Masks (Electronics), Integrated circuits--Masks
- Abstract
Photomasks, the printing masters for the fabrication of integrated circuits, have become a necessity of modern semiconductor manufacturing. This book details the science and technology of industrial photo mask production, including fundamental principles, industrial production flows, and technological evolution.
- Published
- 2005
13. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets.
- Author
-
DeTrano, Alexander, Karimi, Naghmeh, Karri, Ramesh, Guo, Xiaofei, Carlet, Claude, and Guilley, Sylvain
- Subjects
ADVANCED Encryption Standard ,LINEAR codes ,MASKS (Electronics) ,NONVOLATILE memory ,COMPUTER software ,ELECTRONIC countermeasures - Abstract
Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
14. Optimized lithography process for through-silicon vias-fabrication using a double-sided (structured) photomask for mask aligner lithography.
- Author
-
Weichelt, Tina, Stuerzebecher, Lorenz, and Zeitner, Uwe D.
- Subjects
- *
LITHOGRAPHY , *FABRICATION (Manufacturing) , *PHOTOMASKS , *MASKS (Electronics) , *PHOTOLITHOGRAPHY - Abstract
Through-silicon vias (TSV) are very important for wafer-level packaging as they provide patterning holes through thick silicon dies to integrate and interconnect devices which are stacked in the z-direction. For economic processing, TSV fabrication primarily needs to be cost effective, especially for a high throughput. Furthermore, a lithography process for TSV has to be stable enough to allow patterning on prestructured substrates with inhomogeneous topography. This can be addressed by an exposure process which offers a large depth of focus. We have developed a mask-aligner lithography process based on the use of a double-sided photomask to realize aerial images that meet these constraints. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
15. Application of Stencil Mask Ion Implantation Technology to Power Semiconductors.
- Author
-
Nishiwaki, T., Saito, H., Hamada, K., Tonari, K., and Nishihashi, T.
- Subjects
- *
ION implantation , *POWER semiconductors , *MASKS (Electronics) , *ION bombardment , *DEFORMATIONS (Mechanics) , *PHOTORESISTS - Abstract
We have been studying the application of ion implantation technology to power semiconductors. The ion implantation technology uses a stencil mask instead of a photo-resist mask in order to reduce the number of processes and achieve high-energy mask ion implantation. Deterioration in implantation pattern accuracy, which is caused by thermal deformation of the stencil mask due to ion beam incidence, has become a critical issue because of the heavy use of high doses of ion implantation in the power semiconductor. In this research, we developed a stencil mask structure that drastically reduces thermal deformation, and technology capable of realizing the ring pattern needed in power semiconductors. © 2006 American Institute of Physics [ABSTRACT FROM AUTHOR]
- Published
- 2006
- Full Text
- View/download PDF
16. Implant Angle Control on Optima MD.
- Author
-
Rathmell, R. D., Vanderberg, B., Ray, A. M., Kamenitsa, D. E., Harris, M., and Wu, K.
- Subjects
- *
ION implantation , *ANGLE modulation , *CALIBRATION , *METHODS engineering , *MASKS (Electronics) , *FREE surfaces (Crystallography) , *SEMICONDUCTOR wafers - Abstract
Implant angle control is increasingly important with each new device node. Some devices have demonstrated a sensitivity of threshold voltage of about 100 mV/deg for implant angle and require implant angles to be held within +/- 0.2° for process control. There are many sources of angle variation in single wafer implanters. Mechanical orientation can usually be controlled to high precision, but an accurate control of the implant angle requires knowledge of the actual beam angle relative to the surface or crystal planes of the wafer. In-situ methods to measure beam angles in both the horizontal and vertical planes are required and it is necessary that these methods be calibrated to the surface or crystal planes of the wafer to achieve the required angle control. Optima MD has incorporated methods to automatically measure beam angles prior to implant in both planes, and correct for any deviation from the desired implant angle. The symmetric parallelizing lens that corrects angles without bending the beam enables a method of calibrating the horizontal angle mask to crystal planes with one or two wafers. This paper discusses the methods of measurement, calibration, and accuracy of the Optima MD angle control system. © 2006 American Institute of Physics [ABSTRACT FROM AUTHOR]
- Published
- 2006
- Full Text
- View/download PDF
17. Design of an Input Phase Mask of the Optical Encryption System and its Application to Certification.
- Author
-
Nomura, Takanori, Ninatai, Eiji, and Numata, Takuhisa
- Subjects
- *
DATA encryption , *COMPUTER simulation , *PHOTOREFRACTIVE materials , *OPTICAL computer equipment , *SEMANTIC integration (Computer systems) , *MASKS (Electronics) , *BANDWIDTHS , *SECURITY systems - Abstract
The secure optical memory system based on a double-random phase-encoding optical encryption system is proposed. The quality of decrypted data can be improved by designing an input phase mask to utilize the bandwidth the bandwidth of the optical system effectively. We propose the certification method of the data recorded into the optical memory by using a designed input phase mask as a phase code. Computer simulations confirm the possibility of the proposed certification system. © 2006 American Institute of Physics [ABSTRACT FROM AUTHOR]
- Published
- 2006
- Full Text
- View/download PDF
18. Advanced Mask Inspection and Metrology.
- Author
-
Yoshioka, Nobuyuki and Terasawa, Tsuneo
- Subjects
- *
MASKS (Electronics) , *SEMICONDUCTORS , *LITHOGRAPHY - Abstract
Lithography is one of the most important semiconductor micro-fabrication technologies that form mask pattern images onto the substrate. Since a mask is the original edition of semiconductor patterns, precise control of the mask aperture size becomes critical. The masks have to be made up in the accurately controlled patterns and zero defects. Therefore, mask inspection and metrology that guarantee the mask qualities are important key technologies for realizing the semiconductor production with high reliability and high yield. The advanced inspection and metrology are being developed. The requirements, technical issues, and current status of these technologies are reported. Mask inspection technologies for next generation lithography such as electron projection lithography (EPL) and extreme ultraviolet lithography (EUVL) are also reported. © 2003 American Institute of Physics [ABSTRACT FROM AUTHOR]
- Published
- 2003
- Full Text
- View/download PDF
19. EUV Mask Blank Fabrication & Metrology.
- Author
-
Seidel, Phil
- Subjects
- *
MASKS (Electronics) , *PHOTOLITHOGRAPHY , *ULTRAVIOLET radiation - Abstract
Extreme Ultraviolet (EUV) lithography is under development to succeed 157nm lithography for commercial IC manufacturing for the 45nm technology node as defined by the ITRS 2001 Lithography Roadmap. EUV masks pose many manufacturing and technical challenges to meet the future commercial needs. Although some EUV mask manufacturing processes can be extended from current optical masks, many new issues arise due to transitioning to all reflective multi-layer mirror system with patterned features versus conventional optical masks. EUV lithography operation at 13.4 – 13.5 nm wavelength requires optimal multi-layer performance including peak reflectance, wavelength matching to the optical system, and very low defect levels. The Low Thermal Expansion Material (LTEM) that is used as a substrate for the multi-layer reflector also requires demanding performance levels including Coefficient of Thermal Expansion (CTE), flatness, and roughness to support EUV mask needs. Performance improvements as large as several orders of magnitude are needed for some of these parameters. To aid these developments, specialized metrology tools are needed. These tools fall into two categories: Manufacturing process inspections tools include flatness interferometry, atomic force microscopy, EUV reflectometry, defect inspection, and others. Analytical tools include scanning electron microscopy, X-Ray Diffraction, ion beam milling, and others used for problem solving. Both metrology types will play a major role in the successful development of EUV masks to meet the 45nm node requirements. This paper will review many applicable metrology techniques in addition to those listed, describe the application to EUV mask blank development or manufacturing, show problem solving examples of the techniques, and highlight particular problems or areas of need. © 2003 American Institute of Physics [ABSTRACT FROM AUTHOR]
- Published
- 2003
- Full Text
- View/download PDF
20. Application of High Pressure/Environmental Scanning Electron Microscopy to Photomask Dimensional Metrology.
- Author
-
Postek, Michael T. and Vladár, András E.
- Subjects
- *
MASKS (Electronics) , *SCANNING electron microscopy , *SEMICONDUCTORS - Abstract
The application of high pressure or environmental microscopy techniques is not new to scanning electron microscopy. However, application of this methodology to semiconductor metrology is new because of the combined need for implementation of high resolution, high brightness field emission technology in conjunction with large chamber and sample transfer capabilities. This methodology employs a gaseous environment to help neutralize the charge build-up that occurs under irradiation with the electron beam. Although potentially very desirable for the charge neutralization, this methodology has not been seriously employed in photomask or wafer metrology until now. This is a new application of this technology to this area, but it shows great promise in the inspection, imaging and metrology of photomasks in a charge-free operational mode. For accurate metrology, this methodology affords a path that minimizes, if not eliminates, the need for charge modeling. © 2003 American Institute of Physics [ABSTRACT FROM AUTHOR]
- Published
- 2003
- Full Text
- View/download PDF
21. Results from the SPI Imaging Test Setup.
- Author
-
Wunderer, Cornelia B., Diehl, R., Georgii, R., Kienlin, A. v., Lichti, G. G., Scho¨nfelder, V., Strong, A., Connell, P., Sanchez, F., and Vedrenne, G.
- Subjects
- *
IMAGING systems in astronomy , *SPECTROMETERS , *IMAGE reconstruction , *GERMANIUM diodes , *MASKS (Electronics) - Abstract
The SPI Imaging Test Setup (SPITS) was built at MPE to allow experimental verification of the imaging properties of the SPectrometer onboard INTEGRAL (SPI). Of special importance is the possibility to validate simulations -- which are needed for SPI image reconstruction -- with laboratory measurements. SPITS consists of a coded mask and two Germanium detectors. The coded mask has the same tungsten-alloy HURA mask coding as SPI and is made of SPI flight model materials. The two hexagonal Ge-detectors are from the SPI flight detector manufacturing line. Housed in a common A1 vacuum cap and mounted on an XY-table, they can be moved to cover the 19 Ge detector positions of the SPI camera. We have measured the response of SPITS to radioactive sources (60 keV to 1.8 MeV) at a distance of 9 m from the detector plane. We use both image deconvolution algorithms foreseen for SPI data analysis (spiros and spiskymax) for our analysis. We present our findings for the angular resolution and the point-source-location capability of SPITS for several photon energies and for several source geometries relative to the mask coding. [ABSTRACT FROM AUTHOR]
- Published
- 2001
22. Feasibility studies of coded masks for high-energy gamma-ray telescopes in space.
- Author
-
Lin, Y. C., Michelson, P. F., Nolan, P. L., and Thompson, D. J.
- Subjects
- *
MASKS (Electronics) , *TELESCOPES , *GAMMA ray astronomy - Abstract
It is a well-known fact that the high-energy gamma-ray telescopes in conventional designs are inherently limited in their spatial resolving powers. EGRET can locate a point source to 5 to 10 arcmin accuracy, while GLAST can achieve source location capabilities to better than 0.5 arcmin. Although there is still room for improvement in the angular resolution of the conventional high-energy gamma-ray telescopes, other means can also be explored at this time. Coded masks have been successfully used for X-ray and low-energy gamma-ray telescopes. Such devices have also been considered for high-energy gamma-ray telescopes from time to time. In this paper we investigate the feasibility of using coded masks to achieve much higher source location capabilities for a high-energy gamma-ray space telescope. We discuss the merits and the difficulties of using coded masks to achieve this goal. In particular, we look into the issues of size, weight, field of view, and the trade-off between the angular resolution and the field of view for such coded masks. [ABSTRACT FROM AUTHOR]
- Published
- 2001
23. Parametric source-mask-numerical aperture co-optimization for immersion lithography.
- Author
-
Xuejia Guo, Yanqiu Li, Lisong Dong, Lihui Liu, Xu Ma, and Chunying Han
- Subjects
- *
IMMERSION lithography , *MASKS (Electronics) , *ALGORITHM research , *MATHEMATICAL optimization , *COMPUTER simulation - Abstract
Source mask optimization (SMO) is a leading resolution enhancement technique in immersion lithography at the 45-nm node and beyond. Current SMO approaches, however, fix the numerical aperture (NA), which has a strong impact on the depth of focus (DOF). A higher NA could realize a higher resolution but reduce the DOF; it is very important to balance the requirements of NA between resolution and the DOF. In addition, current SMO methods usually result in complicated source and mask patterns that are expensive or difficult to fabricate. This paper proposes a parametric source-mask-NA co-optimization (SMNO) method to improve the pattern fidelity, extend the DOF, and reduce the complexity of the source and mask. An analytic cost function is first composed based on an integrative vector imaging model, in which a differentiable function is applied to formulate the source and mask patterns. Then, the derivative of the cost function is deduced and a gradient-based algorithm is used to solve the SMNO problem. Simulation results show that the proposed SMNO can achieve the optimum combination of parametric source, mask, and NA to maintain high pattern fidelity within a large DOF. In addition, the complexities of the source and mask are effectively reduced after optimization. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
24. Comprehensive defect avoidance framework for mitigating extreme ultraviolet mask defects.
- Author
-
Kagalwalla, Abde Ali and Gupta, Puneet
- Subjects
- *
EXTREME ultraviolet lithography , *MASKS (Electronics) , *MATHEMATICAL optimization , *RANDOM walks , *SEMICONDUCTOR defects - Abstract
Defect avoidance methods are likely to play a key role in overcoming the challenge of mask blank defectivity in extreme ultraviolet (EUV) lithography. In this work, we propose an innovative EUV mask defect avoidance method. It is the first approach that allows exploring all the degrees of freedom available for defect avoidance (pattern shift, rotation and mask floorplanning). We model the defect avoidance problem as a global, nonconvex optimization problem and then solve it using a combination of random walk and gradient descent. For a 8-nm polysilicon layer of an ARM Cortex M0 layout, our method achieves a 60% point better mask yield compared to prior art in defect avoidance for a 40-defect mask. We show that pattern shift is the most significant degree of freedom for improving mask yield. Rotation and mask floorplanning can also help improve mask yield to a certain extent. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
25. A novel template-release method for low-defect nanoimprint lithography.
- Author
-
Kitagawa, Takuya, Nakamura, Naoto, Kawata, Hiroaki, and Hirai, Yoshihiko
- Subjects
- *
NANOIMPRINT lithography , *PHOTORESISTS , *RADIATION exposure , *MICROELECTRONICS , *MASKS (Electronics) , *MATERIALS science - Abstract
Various template-releasing methods, including a newly proposed novel method for nanoimprint lithography, are examined and evaluated in terms of defect rates for line and pillar patterns. The newly proposed method, called the push-back method, shows excellent releasing performance. In the push-back method, a template is repeatedly pushed up and back to a resist pattern. We evaluated defect rates after template releasing for various methods using a newly developed tool controlled in multiple axes. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
26. On the Origin of Increased Sensitivity and Mass Resolution Using Silicon Masks in MALDI.
- Author
-
Diologent, Laurent, Franck, Julien, Wisztorski, Maxence, Treizebre, Anthony, Focsa, Cristian, Fournier, Isabelle, and Ziskind, Michael
- Subjects
- *
MATRIX-assisted laser desorption-ionization , *IONIZATION (Atomic physics) , *PASSIVATION , *MASKS (Electronics) , *BRADYKININ , *HYDROXYCINNAMIC acids - Abstract
Since its development, MALDI has proved its performance in the analysis of intact biomolecules up to high molecular weights, regardless of their polarity. Sensitivity of MALDI instruments is a key point for breaking the limits of observing biomolecules of lower abundances. Instrumentation is one way to improve sensitivity by increasing ion transmission and using more sensitive detection systems. On the other side, improving MALDI ion production yields would have important outcomes. MALDI ion production is still not well-controlled and, indeed, the amount of ions produced per laser shot with respect to the total volume of desorbed material is very low. This has particular implications for certain applications, such as MALDI MS imaging where laser beam focusing as fine as possible (5–10 μm) is searched in order to reach higher spatial resolution images. However, various studies point out an intrinsic decrease in signal intensity for strong focusing. We have therefore been interested in developing silicon mask systems to decrease an irradiated area by cutting rather than focusing the laser beam and to study the parameters affecting sensitivity using such systems. For this, we systematically examined variation with laser fluence of intensity and spectral resolution in MALDI of standard peptides when using silicon-etched masks of various aperture sizes. These studies demonstrate a simultaneous increase in spectral resolution and signal intensity. Origin of this effect is discussed in the frame of the two-step ionization model. Experimental data in the low fluence range are fitted with an increase of the primary ionization through matrix-silicon edge contact provided by the masks. On the other hand, behavior at higher fluence could be explained by an effect on the secondary ionization via changes in the plume dynamics. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
27. Constructing optimized binary masks for reservoir computing with delay systems.
- Author
-
Appeltant, Lennert, Van der Sande, Guy, Danckaert, Jan, and Fischer, Ingo
- Subjects
- *
FEEDBACK control systems , *DELAY lines , *MASKS (Electronics) , *NEUROSCIENCES , *COMPUTER science - Abstract
Reservoir computing is a novel bio-inspired computing method, capable of solving complex tasks in a computationally efficient way. It has recently been successfully implemented using delayed feedback systems, allowing to reduce the hardware complexity of brain-inspired computers drastically. In this approach, the pre-processing procedure relies on the definition of a temporal mask which serves as a scaled time-mutiplexing of the input. Originally, random masks had been chosen, motivated by the random connectivity in reservoirs. This random generation can sometimes fail. Moreover, for hardware implementations random generation is not ideal due to its complexity and the requirement for trial and error. We outline a procedure to reliably construct an optimal mask pattern in terms of multipurpose performance, derived from the concept of maximum length sequences. Not only does this ensure the creation of the shortest possible mask that leads to maximum variability in the reservoir states for the given reservoir, it also allows for an interpretation of the statistical significance of the provided training samples for the task at hand. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
28. Wide-range frequency selectivity in an acoustic sensor fabricated using a microbeam array with non-uniform thickness.
- Author
-
Shintaku, Hirofumi, Kobayashi, Takayuki, Zusho, Kazuki, Kotera, Hidetoshi, and Kawano, Satoyuki
- Subjects
- *
PHOTORESISTS , *MASKS (Electronics) , *ELECTRON resists , *LITHOGRAPHY , *PHOTOLITHOGRAPHY , *DOPPLER effect - Abstract
In this study, we have demonstrated the fabrication of a microbeam array (MBA) with various thicknesses and investigated the suitability it for an acoustic sensor with wide-range frequency selectivity. For this, an MBA composed of 64 beams, with thicknesses varying from 2.99–142 µm, was fabricated by using single gray-scale lithography and a thick negative photoresist. The vibration of the beams in air was measured using a laser Doppler vibrometer; the resonant frequencies of the beams were measured to be from 11.5 to 290 kHz. Lastly, the frequency range of the MBA with non-uniform thickness was 10.9 times that of the MBA with uniform thickness. [ABSTRACT FROM AUTHOR]
- Published
- 2013
- Full Text
- View/download PDF
29. Moisture absorption analysis of interfacial fracture test specimens composed of no-flow underfill materials
- Author
-
Ferguson, Timothy and Qu, Jianmin
- Subjects
Masks (Electronics) ,Solder and soldering ,Electronic packaging ,Electronics - Abstract
In a previous study, we found that moisture preconditioning strongly influenced the interfacial fracture toughness of the underfill/solder mask interface, decreasing the interfacial adhesion by approximately one-half for both classifications of underfill/solder mask interfaces after 725 h of exposure at 85[degrees]C/85% RH. To better understand the rate and mechanisms for moisture transport through the interfacial fracture test specimens, a diffusion analysis was implemented based on traditional analytical solutions of Fick's second law of diffusion. Test specimens were constructed to experimentally determine the diffusion coefficient for each underfill. Since both underfill encapsulants proved to exhibit non-Fickian behavior at 85[degrees]C/ 85%RH, the application of the analytical Fickian solution for the test specimens was limited to the associated JEDEC criteria of 168 hours for 85[degrees]C/85%RH. A finite element analysis was performed to illustrate the moisture concentration in the interfacial fracture test specimens for initial times of exposure to the humid environment. The results of this study demonstrate that the presence of amine functional groups considerably retard moisture penetration through underfill encapsulants. [DOI: 10.1115/1.1524132]
- Published
- 2003
30. Enhanced Kretschmann structure for maskless surface plasmon interference lithography
- Author
-
Guo, Xiaowei, Dong, Qiming, Shi, Ruiying, Li, Shuhong, and Du, Jinglei
- Subjects
- *
MASKS (Electronics) , *SURFACE plasmon resonance , *ELECTRIC interference , *LITHOGRAPHY , *NANOELECTROMECHANICAL systems , *REFRACTIVE index , *ELECTRONIC structure - Abstract
Abstract: Mask less surface plasmon interference lithography provides potential to obtain nanoscale feature size with large area at low cost. In this paper, we present a novel structure for mask less surface plasmon interference lithography. It is an enhanced Kretschmann structure in which a dielectric layer with low refractive index is added between the prism and metal layer. Numerical results show that the additional dielectric layer eliminates the inherent limit in classic Kretschmann structure that requires use of a prism with high refractive index for lithography purpose. Also, the structure exhibits more flexibility in tuning the spatial resolutions than classic Kretschmann structure. Both advantages lead to the structure more practical in real use. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
31. Electroplated Ni mask for plasma etching of submicron-sized features in LiNbO3
- Author
-
Lecestre, Aurélie, Benchabane, Sarah, Robert, Laurent, Salut, Roland, Ulliac, Gwenn, and Blind, Pascal
- Subjects
- *
ELECTROPLATING , *NICKEL-plating , *MASKS (Electronics) , *PLASMA etching , *LITHIUM niobate , *MICROFABRICATION - Abstract
Abstract: We here report on the fabrication of electroplated nickel (Ni) masks for dry etching of sub-micron patterns in lithium niobate (LiNbO3). This process allows obtaining 350-nm thick Ni masks defining high air filling fraction holey arrays (e.g. openings of 1800nm in diameter with inter-hole spacing of 300nm, or 330nm diameter holes spaced by 440nm). The mask profile is perfectly vertical (angle≈90°). The obtained metallic masks are used to realise photonic and phononic crystals. High aspect ratio and dense arrays of holey patterns were defined and transferred into LiNbO3 through RIE (Reactive Ionic Etching) in sulphur hexafluoride (SF6) chemistry. Nanometric holes exhibiting sidewall slope angles of the order of 60° have in this way been etched in LiNbO3. The LiNbO3/Ni selectivity is close to 6 and the etch rate around 6nm/min. [Copyright &y& Elsevier]
- Published
- 2013
- Full Text
- View/download PDF
32. A fabrication method of high-Q quartz crystal resonator using double-layered etching mask for DRIE
- Author
-
Abe, Takashi and Itasaka, Yousuke
- Subjects
- *
QUARTZ crystals , *ELECTRIC resonators , *SURFACES (Technology) , *CRYSTAL oscillators , *PHOTORESISTS , *MASKS (Electronics) - Abstract
Abstract: This paper reports a fabrication method of high-Q quartz-crystal resonator having deep etched structures with precisely modulated surface shape. The method to realize the structures bases on the use of multi-layered mask having different etch selectivity to quartz during deep reactive ion etching (DRIE) process. Here, hard mask (nickel) and soft mask (photoresist) was used for deep etching and modulating etched surface shape, respectively. Because of the large difference in the etch selectivity between quartz/hard-mask (>30) and quartz/soft-mask (0.3–2), the limited range size of modulating shapes in the vertical direction was improved. Inverted-mesa quartz resonators combined with a spherical shape are demonstrated using the proposed method showing the improvement of the device performance. [Copyright &y& Elsevier]
- Published
- 2012
- Full Text
- View/download PDF
33. High-Rotational Symmetry Lattices Fabricated by Moiré Nanolithography.
- Author
-
Lubin, Steven M., Zhou, Wei, Hryn, Alexander J., Huntington, Mark D., and Odom, Teri W.
- Subjects
- *
NANOFABRICATION , *PHOTOMASKS , *MASKS (Electronics) - Abstract
This paper describes a new nanofabrication method, moiré nanolithography, that can fabricate subwavelength lattices with high-rotational symmetries. By exposing elastomeric photomasks sequentially at multiple offset angles, we created arrays with rotational symmetries as high as 36-fold, which is three times higher than quasiperiodic lattices (≤12-fold) and six times higher than two-dimensional periodic lattices (≤6-fold). Because these moiré nanopatterns can be generated over wafer-scale areas, they are promising for a range of photonic applications, especially those that require broadband, omnidirectional absorption of visible light. [ABSTRACT FROM AUTHOR]
- Published
- 2012
- Full Text
- View/download PDF
34. Understanding shape-dependent mask CD uniformity.
- Author
-
Fujimura, Aki
- Subjects
- *
MASKS (Electronics) , *TECHNOLOGICAL complexity , *ELECTRON beams , *SEMICONDUCTOR wafers , *ELECTRONIC equipment design - Abstract
The article reports on the emergence of new mask-manufacturing technologies and techniques to address the increasing complexity of mask designs. It highlights some of these technologies including mask process correction (MPC), electronic beam dose modulation, and model-based mask-data preparation (MB-MDP). It examines the association of design rules, wafer critical dimension uniformity (CDU), and mask CDU.
- Published
- 2012
35. Differences in erosion mechanism and selectivity between Ti and TiN in fluorocarbon plasmas for dielectric etch.
- Author
-
Weilnboeck, Florian, Bartis, Elliot, Shachar, Sivan, Oehrlein, Gottlieb S., Farber, David, Lii, Tom, and Lenox, Chet
- Subjects
TITANIUM ,TITANIUM nitride ,MASKS (Electronics) ,MATERIAL erosion ,PLASMA gas research ,SURFACE morphology ,ELLIPSOMETRY ,X-ray photoelectron spectroscopy - Abstract
Metallic masking materials are promising candidates for plasma-based pattern transfer into low-k materials for fabricating integrated circuits. Improving etching selectivity (ES) between the low-k and hardmask material requires a fundamental understanding of material erosion in fluorocarbon (FC) plasmas. The authors have previously reported on the erosion mechanism and plasma parametric dependencies of Ti etch in FC discharges. The present work focuses on elucidating differences in the erosion behavior between Ti and TiN hardmasks. The authors studied erosion of Ti, TiN, and organosilicate glass (OSG), a reference low-k material, in CF
4 /Ar and C4 F8 /Ar plasmas. Changes in surface composition, FC surface reaction layer thicknesses, erosion rates, and corresponding ES were established by x-ray photoelectron spectroscopy and in situ ellipsometry. The authors found that the erosion stages and plasma parameter dependent surface compositions were similar for Ti and TiN. The previously established dependence of Ti erosion rates on FC layer thickness and energy deposition on the hardmask surface by ions generally holds for TiN as well. However, TiN etch rates (volumetric removal rates) and etch yields (atomic removal rates) were increased by a factor of 1-1.4 compared to Ti. This difference can be explained by the rapid removal of N from the TiN surface, increasing the Ti atom number density at the surface above values of the Ti hardmask. The resulting increase in surface reactivity is in good agreement with the enhanced erosion rates compared to Ti. Differences in erosion rates have a direct impact on the ES and the highest ES relative to OSG (up to 15) were achieved for Ti hardmasks in CF4 /Ar plasmas with low ion energy. [ABSTRACT FROM AUTHOR]- Published
- 2012
- Full Text
- View/download PDF
36. Lateral metallic devices made by a multiangle shadow evaporation technique.
- Author
-
Costache, Marius V., Bridoux, Germàn, Neumann, Ingmar, and Valenzuela, Sergio O.
- Subjects
TRANSISTORS ,TUNNEL junctions (Materials science) ,MASKS (Electronics) ,ELECTRIC resistance ,FERROMAGNETIC materials - Abstract
The authors report the fabrication of lateral metallic structures with multiple materials using specifically designed resist masks and multiangle shadow evaporation. The whole fabrication process is carried out without breaking vacuum, which avoids contamination and allows for highly controlled interface properties between metals deposited sequentially. The authors incorporate the thickness of the mask as a design parameter, which allows one to introduce controlled variations between multiple contacts in the same device. Using a suspended mask, it is demonstrated the fabrication of asymmetric single electron transistors with tunnel junctions with different resistances. Using a nonsuspended mask, it is illustrated the fabrication of an extended structure (a thermopile), which consists of tenths of ferromagnetic wires with a nominal width of 30 nm connected electrically in series using a nonmagnetic metal. [ABSTRACT FROM AUTHOR]
- Published
- 2012
- Full Text
- View/download PDF
37. Facile large-area photolithography of periodic sub-micron structures using a self-formed polymer mask.
- Author
-
Chen, Kevin, Azhar, Ebraheem, Ma, Teng, Jiang, Hanqing, and Yu, Hongbin
- Subjects
- *
PHOTOLITHOGRAPHY , *OPTICAL properties of polymers , *MASKS (Electronics) , *POLYDIMETHYLSILOXANE , *OPTICAL properties , *THIN films , *SUBSTRATES (Materials science) , *ULTRAVIOLET lamps - Abstract
This letter reports the methodology of a low-cost fabrication technique for producing periodic sub-micron structures over a large area, using a polymer mask. A thin film of gold/palladium or silica is deposited on a stretched polydimethylsiloxane (PDMS) substrate. Release of the tension forms a buckling sinusoidal pattern on the surface. The PDMS substrates are then used as masks in soft contact optical lithography, bypassing the need for an expensive lithographic process toward creating regular patterns on a traditional masks. Pattern transfers are conducted using an ultraviolet lamp and the fabrication of more complex periodic structures through multiple exposures is reported. [ABSTRACT FROM AUTHOR]
- Published
- 2012
- Full Text
- View/download PDF
38. Escaping death: single-patterning contact printing for 32/28-nm logic technology nodes.
- Author
-
Morgenfeld, Bradley, Stobert, Ian, An, Ju Jin, Aminpur, Massud A., Brodsky, Colin J., Thomas, Alan, Haffner, Henning, Ostermayr, Martin, Kanai, Hideki, and Chen, Norman S.
- Subjects
- *
IMMERSION lithography , *MICROLITHOGRAPHY , *NANOTECHNOLOGY , *RANDOM access memory , *MASKS (Electronics) , *EQUIPMENT & supplies - Abstract
As 193-nm immersion lithography is extended indefinitely to sustain technology roadmaps, there is increasing pressure to contain escalating lithography costs by identifying patterning solutions that can minimize the use of multiple-pass processes. Contact patterning for the 32/28-nm technology nodes has been greatly facilitated by the just-in-time introduction of new process enablers that allow the support of flexible foundry-oriented ground rules alongside high-performance technology, without inhibiting migration to a single-pass patterning process. The incorporation of device-based performance metrics, along with rigorous patterning and structural variability studies, was critical in the evaluation of material innovation for improved resolution and CD shrink. Additionally, novel design changes for single patterning incorporating mask optimization efforts, along with new capability in data preparation, were assessed to allow for minimal impact of implementation of a single patterning contact process late in the 32-nm and 28-nm development cycles. In summary, this paper provides a comprehensive study of what it takes to turn a contact-level double-patterning process into a single-patterning process consisting of design and data manipulation, as well as wafer manufacturing aspects, together with many results. [ABSTRACT FROM AUTHOR]
- Published
- 2012
- Full Text
- View/download PDF
39. A self-consistent extraction procedure for source/drain resistance in MOSFETs
- Author
-
Chang, Yang-Hua and Liu, Yao-Jen
- Subjects
- *
METAL oxide semiconductor field-effect transistors , *ELECTRIC resistance , *GATE array circuits , *MASKS (Electronics) , *CHANNELING (Physics) , *ANALOG integrated circuits - Abstract
Abstract: A new method to determine source/drain series resistance has been developed for MOSFETs operated in linear region. The source/drain resistance (RSD ) is gate-bias dependent. Channel length reduction (ΔL) is extracted at low gate bias and chosen to be constant. All parameters extracted in this method are assumed to be independent of mask channel length for model simplicity. The method has been applied to devices with mask channel lengths of 0.23, 0.2, and 0.185μm. The extracted parameters are consistent with the assumptions and have been validated by measured I–V characteristics. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
40. Fabrication of Glass-based Microfluidic Devices with Photoresist as Mask.
- Author
-
Bahadorimehr, A. and Majlis, B. Y.
- Subjects
GLASS etching ,MICROFABRICATION ,MICROFLUIDIC devices ,PHOTORESISTS ,MASKS (Electronics) ,ULTRAVIOLET radiation - Abstract
Copyright of Electronics & Electrical Engineering is the property of Electronics & Electrical Engineering and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use. This abstract may be abridged. No warranty is given about the accuracy of the copy. Users should refer to the original published version of the material for the full abstract. (Copyright applies to all Abstracts.)
- Published
- 2011
41. Rapid and direct micro-machining/patterning of polymer materials by oxygen MeV ion beam irradiation through masks
- Author
-
Brun, S., Guibert, G., Meunier, C., Guibert, E., Keppner, H., and Mikhailov, S.
- Subjects
- *
MASKS (Electronics) , *ION bombardment , *IRRADIATION , *POLYMERS , *INDUSTRIAL use of oxygen , *ETCHING , *CHEMICAL bonds , *BIOCOMPATIBILITY - Abstract
Abstract: PTFE (PolyTetraFluoroEthylene), often called Teflon, is a well-known polymer for being a non-stick material with good thermal properties. Moreover, PTFE is biocompatible and especially it is a cyto-compatible polymer. To enable bonding, a chemical etching based on sodium solutions is generally used to modify surfaces. In this paper we study the etching of PTFE using an oxygen ion beam in the MeV energy range. We present micro-patterning of PTFE through masks with two fluences of 5×1015 and 1×1016 ioncm−2. As is demonstrated the use of a mask allows structuring of large areas while maintaining a distance between the mask and sample makes industrial applications possible. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
42. Model-based mask data prep using overlapping shots for 20nm devices.
- Author
-
Fujimura, Aki
- Subjects
- *
MASKS (Electronics) , *METHODOLOGY , *INTEGRATED circuit masks , *ELECTRON beams , *SEMICONDUCTOR wafers - Abstract
The article focuses on the model-based mask data preparation (MB-MDP) technique in e-beam mask writing technology for 20-nanometer (nm) devices. It says that MB-MDP can generate shot lists with overlapped variable-shaped beam (VSB) shots to create mask features introduced by aggressive optical proximity correction (OPC). Moreover, its overlapping shots can lower shot count while maintaining or improving process window (PW) on the wafer, critical dimension uniformity (CDU), and dose margin (DM).
- Published
- 2011
43. Photochromism into optics: Opportunities to develop light-triggered optical elements
- Author
-
Bertarelli, C., Bianco, A., Castagna, R., and Pariani, G.
- Subjects
- *
PHOTOCHROMISM , *OPTICS , *HOLOGRAPHY , *REFRACTIVE index , *DIFFRACTION gratings , *LIGHT absorption , *MASKS (Electronics) , *OPTICAL instruments - Abstract
Abstract: Opportunities to apply photochromism in smart optics are highlighted. The reversible light-triggered switching in UV–vis absorption and in the refractive index can be exploited to develop rewritable optical elements, specifically tunable masks, amplitude holograms and volume gratings. Description of the configuration and performance of the optical devices with possible open issues is preceded by a discussion dealing with the molecular features that provide a strong modulation of the material properties which is required for a convenient functioning of the device. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
44. Fabrication of metallic nanocones by induced deposition of etch masks and ion milling
- Author
-
Fleischer, M., Weber-Bargioni, A., Cabrini, S., and Kern, D.P.
- Subjects
- *
NANOSTRUCTURED materials , *MICROFABRICATION , *MASKS (Electronics) , *ELECTRON beams , *IONS , *GOLD , *MILLING (Metalwork) , *THIN films - Abstract
Abstract: A versatile process for the fabrication of metallic nanocones is presented that is applicable to different surface topographies. The process is based on thin-film metallization, focused electron beam induced deposition of local etch masks, and argon ion milling. Nanocones can be crafted from different metals. Examples are shown for the optimization of the process for gold nanocones of different sizes. With this process, individual cones can be positioned on both planar and non-planar surfaces. It therefore enables integration of sharp-tipped nanocones into extreme topographies. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
45. Fabrication of sub-10nm silicon carbon nitride resonators using a hydrogen silsesquioxane mask patterned by electron beam lithography
- Author
-
Mohammad, M.A., Dew, S.K., Evoy, S., and Stepanova, M.
- Subjects
- *
MICROFABRICATION , *SILICON , *RESONATORS , *HYDROGEN , *MASKS (Electronics) , *ELECTRON beam lithography , *CANTILEVERS , *NANOELECTROMECHANICAL systems - Abstract
Abstract: In this work, we report the fabrication of sub-10nm wide, doubly-clamped silicon carbon nitride (SiCN) resonators of up to 5μm lengths. An existing resonator fabrication process has undergone a major improvement through the use of a single hydrogen silsesquioxane (HSQ) masking layer for SiCN patterned using electron beam lithography. Novel development strategies, comprising hot development and HF-trimming development, were also used. The crucial role of post-exposure resist processing in improving the resonator resolution and uniformity was demonstrated. Application of the optimized lithographic process has allowed us to claim the narrowest suspended bridge structures of several microns in length achieved to date. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
46. Nanoimprint lithography from CHARPAN Tool exposed master stamps with 12.5nmhp
- Author
-
Muehlberger, M., Boehm, M., Bergmair, I., Chouiki, M., Schoeftner, R., Kreindl, G., Kast, M., Treiblmayr, D., Glinsner, T., Miller, R., Platzgummer, E., Loeschner, H., Joechl, P., Eder-Kapl, S., Narzt, T., Lausecker, E., and Fromherz, T.
- Subjects
- *
ION beam lithography , *NANOTECHNOLOGY , *MICROFABRICATION , *ULTRAVIOLET radiation , *MASKS (Electronics) , *POLYMERS - Abstract
Abstract: The use of working stamps for nanoimprint lithography is highly interesting due to a number of reasons. We present results of such a master stamp – working stamp – imprint process where we achieved a resolution of 12.5nm half pitch. To fabricate master stamps for nanoimprint lithography we use massively parallel ion beam lithography provided by the CHARPAN Tool. Exposed and developed hydrogen silsesquioxane (HSQ) on Si is directly used as a master to fabricate working stamps from UV-curable polymers, which are then used for UV-based nanoimprint lithography. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
47. Spatial light modulation based 3D lithography with single scan virtual layering
- Author
-
Seo, Manseung and Kim, Haeryung
- Subjects
- *
LITHOGRAPHY , *MASKS (Electronics) , *LIGHT modulators , *MICROFABRICATION , *MICROSTRUCTURE , *GEOMETRIC surfaces , *LENSES - Abstract
Abstract: A novel spatial light modulation based 3D lithography method is proposed to fabricate both 2D and 3D microstructures using a single mechanism. To fabricate multiple layers with a single scan, a virtual layering concept based on super-resolution is devised. To design the hierarchical patterns appropriate to achieve the target irradiation energy determined from the target structure, the single scan virtual layering exposure model is developed. For verification of the proposed method, lithography simulations and experiments were performed to fabricate micro lens array structures. The surface smoothness and uniformity of the fabricated structures reveal that the method is versatile and reliable. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
48. Boehmite filled hybrid sol–gel system as directly writable hard etching mask for pattern transfer
- Author
-
Grenci, Gianluca, Della Giustina, Gioia, Pozzato, Alessandro, Brusatin, Giovanna, and Tormen, Massimo
- Subjects
- *
BOEHMITE , *COLLOIDS , *MASKS (Electronics) , *X-ray lithography , *PLASMA etching , *CERAMICS , *NANOPARTICLES , *PHOTORESISTS - Abstract
Abstract: We discuss some preliminary results on the development of a new kind of positive tone resist whose peculiarity is an extreme dry etch resistance. This profitable property is obtained by loading and compatibilizing with ceramic nano particles a radiation sensitive sol–gel silica based hybrid organic/inorganic system. With an appropriate choice of the nano particles, the investigated approach is suitable to be adapted and optimized for achieving high selectivity in plasma etching processes of different materials. Here, we specifically demonstrate how the filling with boehmite nano particles (aluminum hydroxide, y-AlO(OH)) confers a much higher selectivity (>60) to the radiation sensitive silica based system when used for the etching of silicon, that show a selectivity <2 if unloaded. The patterning of the new resist was carried out by X-ray lithography while the dry etching tests were made with a fluorine-based chemistry. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
49. Development of coherent scatterometry microscope
- Author
-
Kinoshita, Hiroo, Harada, Tetsuo, Nakasuji, Masato, Nagata, Yutaka, and Watanabe, Takeo
- Subjects
- *
EXTREME ultraviolet lithography , *LASERS , *MASKS (Electronics) , *SCATTERING (Physics) , *OPTICS , *LIGHT sources , *NANOELECTROMECHANICAL systems - Abstract
Abstract: A new mask inspection system for EUVL is being developed. The resolution of previously developed actinic inspection systems, which employ FZP or Schwarzschild optics, is limited to 60nm. This prompted us to develop a new unorthodox mask inspection system: a lensless microscope with a coherent light source. This system can detect defects only a few nanometers wide, and it enables CD measurements with a 3σ accuracy of 0.32nm. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
50. Electrostatic clamping with an EUVL mask chuck: Particle issues
- Author
-
Kalkowski, Gerhard, Zeuske, Jacob R., Risse, Stefan, Müller, Sandra, Peschel, Thomas, and Rohde, Mathias
- Subjects
- *
ELECTROSTATICS , *MASKS (Electronics) , *PARTICLES , *ELECTRON beams , *SILICON , *SEMICONDUCTOR wafers , *ELECTRIC potential - Abstract
Abstract: Particle and defect issues related to electrostatic chucking with an ultra-planar, pin-structured mask chuck for EUVL application were addressed. By mapping particles/defects on the backside of 8 inch Si-wafers before and after chucking, particle transport from the chuck to the wafer was studied at application relevant electrostatic forces. Particles were detected by analysis of stray light intensities on the wafer side. Investigations were performed under ambient conditions at high chucking voltages on a bipolar chuck. Particle transport from the chuck surface to the wafer surface was mapped and found to concentrate at the pin sites. Successively lower particle counts with increasing number of chucked wafers were observed, indicating a “cleaning effect” on the chuck’s surface induced by the electrostatic chucking procedure. No influence of electric field direction (polarity) on particle count was discernable. [Copyright &y& Elsevier]
- Published
- 2011
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.