210 results on '"Kasprowicz, Bryan S."'
Search Results
2. A study of rare contamination defects come in a vacuum chamber
3. Precise optical constants: determination and impact on metrology, simulation, and development of EUV masks
4. Single-pass frame generation for multi-layer 3D circuits
5. Bayesian optimization-based estimation of effective reaction radius of chemically amplified resist in acid catalyzed deprotection reaction
6. Research of high-transmission phase-shift mask on critical dimension uniformity in ArF lithography
7. Multibeam mask requirements for advanced EUV patterning
8. Haze classification based on location for COG mask
9. Depth of focus in high-NA EUV lithography: a simulation study
10. The feasibility of alternative blank substrate materials for large-scale FPD mask process and manufacturing
11. Data preparation for digital scanner
12. A study of patterning 36nm-pitch logic contact holes in a metal oxide resist using a high-reflectance phase-shifting mask that results in image reversal
13. Aerial image metrology (AIMS) based mask-model accuracy improvement for computational lithography
14. Benefits of SEM field-of-view contour averaging for contour-based MPC modeling
15. Study of EB resist dissolution contrast and chemical blur impact on the ultimate resolution
16. Extreme ultraviolet lithography reticle local CD uniformity correlation to wafer local CD uniformity
17. Investigation of stochastic roughness effects for nanoscale grating characterization with a stand-alone EUV spectrometer
18. Direct correlation between mask registration and on-wafer measurements for individual logic device features
19. Mask process correction for laser writers
20. Characteristics of fine feature hole templates for nanoimprint lithography toward 2nm and beyond
21. A neural network assisted etch model for mask process correction
22. Nanoimprint performance improvements for high volume semiconductor device manufacturing
23. Robust and reliable actinic ptychographic imaging of highly periodic structures in EUV photomasks
24. In-situ cavitation measurements with a wireless sensor array: applications in megasonic photomask cleaning
25. Removal behavior of Sn and Pb contaminants on EUV mask after EUV exposure
26. Integrating a CD SEM into an optical system for photomask metrology operations
27. Measurement of through-focus EUV pattern shifts using the SHARP actinic microscope
28. Absorber topography dependence of phase edge effects
29. Polarization effects: EAPSM vs. TT EAPSM
30. High transmission mask technology for 45nm node imaging
31. A practical alternating PSM modeling and OPC approach to deal with 3D mask effects for the 65nm node and beyond
32. An efficient resolution enhancement technique flow for 65nm logic poly layer
33. Reducing alternating phase shift mask (Alt-PSM) write-time through mask data optimization
34. Tunable transmission phase mask options for 65/45nm node gate and contact processing
35. Applications of CPL mask technology for sub-65nm gate imaging
36. High transmission mask technology for 45nm node imaging
37. CPL reticle technology for advanced device applications
38. A 90-nm design-rule patterning application using alt-PSM with KrF lithography for volume manufacturing at k1=0.27
39. The impact of MEEF through pitch for 120-nm contact holes
40. Application of CPL reticle technology for the 65- and 50-nm node
41. Process, design and optical proximity correction requirements for the 65nm device generation
42. Limits of strong phase-shift patterning for device research
43. Application of Chromeless Phase Lithography (CPL) masks in ArF lithography
44. New photomask substrate for improved lithography performance
45. Comparison of 2D measurement methodologies and their viability in a manufacturing environment
46. Applications of CPL mask technology for sub-65nm gate imaging.
47. Tunable transmission phase mask options for 65/45nm node gate and contact processing.
48. High transmission mask technology for 45nm node imaging.
49. An efficient resolution enhancement technique flow for 65nm logic poly layer.
50. Defect printability in CPL mask technology.
Catalog
Books, media, physical & digital resources
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.