60 results on '"J.-P. Colonna"'
Search Results
2. Germanium thin film manufacturing using covalent bonding process
- Author
-
K Abadie, F Fournel, C Morales, F Mazen, L Vignoud, J-P Colonna, and J Widiez
- Subjects
Materials Chemistry ,Electrical and Electronic Engineering ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials - Abstract
This article deals with Si/Ge heterostucture manufacturing using covalent bonding, and its application to the layer transfer of a thin germanium film. At first, bow simulations of the heterostructure are discussed, in order to determine the temperature that should be used during bonding. Then, the covalent bonding process used to manufacture the heterostructure is described and characterized. At the end, a layer transfer process and observations of a thin germanium film are presented.
- Published
- 2022
- Full Text
- View/download PDF
3. Impact of the national prevention policy and scrum law changes on the incidence of rugby-related catastrophic cervical spine injuries in French Rugby Union
- Author
-
J Piscione, D Retière, V Pineau, Jean-Philippe Hager, Yoann Bohu, J.-P. Colonna, Bruno Sesboüé, E Reboursiere, and Jean-Claude Peyrin
- Subjects
Adult ,Male ,Adolescent ,Football ,Poison control ,Physical Therapy, Sports Therapy and Rehabilitation ,Suicide prevention ,Occupational safety and health ,03 medical and health sciences ,symbols.namesake ,Young Adult ,0302 clinical medicine ,Injury prevention ,medicine ,Humans ,Orthopedics and Sports Medicine ,030212 general & internal medicine ,Poisson regression ,Prospective Studies ,Tetraplegia ,business.industry ,Incidence (epidemiology) ,Incidence ,Human factors and ergonomics ,030229 sport sciences ,General Medicine ,medicine.disease ,Spinal Injuries ,Law ,Athletic Injuries ,symbols ,Cervical Vertebrae ,France ,business - Abstract
Background and aimsCatastrophic cervical spine injuries are rare in rugby union but require close monitoring. The aim of this study was to analyse the incidence of severe cervical spine injuries and determine the impact of a national prevention programme and new scrum rules implemented by the French Rugby Union.MethodsA prospective study was performed between 2006 and 2013 including all players affiliated to the French Rugby Union. All cervical spine injuries resulting in death, tetraplegia or a permanent neurological deficit were included. Prevention programmes were implemented from 2007 to 2013 and a change in scrum rules in 2010. To measure the impact of rule changes, results between 2006–2010 and 2010–2013 were compared using a Poisson regression.ResultsAltogether, 31 injuries were observed and the mean annual incidence was 1.6 per 100 000 players. There were significantly more injuries in senior players compared to junior players (3.5 vs 0.6 per 100 000 players; CI 95% (2.1 to 4.9) vs (0.1 to 1.0)). Incidence decreased from 1.8 in 2006 to 1.0 per 100 000 players in 2013 (pConclusionsThe incidence of catastrophic cervical spine injuries has declined in French Rugby Union. The implementation of specific prevention programmes and scrum law changes has notably resulted in a decrease in scrum injuries in forwards. This prospective study should be continued to monitor the future progression of injuries and adapt prevention programmes accordingly.
- Published
- 2016
4. Performance and Modeling of Si-Nanocrystal Double-Layer Memory Devices With High- $k$ Control Dielectrics
- Author
-
G. Gay, G. Molas, M. Bocquet, E. Jalaguier, M. Gely, L. Masarotto, J. P. Colonna, H. Grampeix, F. Martin, P. Brianceau, V. Vidal, R. Kies, T. Baron, G. Ghibaudo, B. De Salvo, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Double layer (biology) ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Nanocrystal ,Stack (abstract data type) ,chemistry ,Logic gate ,0103 physical sciences ,Optoelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Layer (electronics) ,ComputingMilieux_MISCELLANEOUS ,Quantum tunnelling ,High-κ dielectric - Abstract
In this paper, memory devices integrating a double layer of silicon nanocrystals (Si-ncs) as a trapping medium and a HfAlO-based control dielectrics are presented. We will show that the use of two stacked Si-nc layers significantly improves the memory window compared with the single Si-nc layer devices, without introducing anomalies on the charging dynamics. Then, we also evaluate the potential use of a hybrid Si-nc double-layer/SiN layer charge trapping stack. These devices show a good memory window in a Fowler-Nordheim (FN)/FN mode and a good retention (>; 3 V after ten years) with small activation energy (0.35 eV up to 200 °C), thus showing promise for future high-temperature memory applications. A model implying valence-band electron tunneling and a floating-gate-like approximation is used to explain the memory window improvement of the Si-nc double-layer memory devices.
- Published
- 2012
- Full Text
- View/download PDF
5. Accurate depth profiling of oxidized SiGe (intrinsic or doped) thin films by extended Full Spectrum ToF-SIMS
- Author
-
M. Py, E. Martinez, J.M. Hartmann, E. Saracco, V. Delaye, J.F. Damlencourt, J.-P. Colonna, J.M. Fabbri, and J.P. Barnes
- Subjects
Profiling (computer programming) ,Nuclear and High Energy Physics ,Materials science ,business.industry ,Doping ,Oxide ,Analytical chemistry ,Silicon-germanium ,Characterization (materials science) ,chemistry.chemical_compound ,chemistry ,Ionization ,Optoelectronics ,Thin film ,business ,Instrumentation - Abstract
The abundance of work on SiGe based devices demonstrates the importance of compositional characterization of such materials. However, SIMS characterization of SiGe layers often suffers from matrix effects due to non-linear variation of ionization yields with Ge content. Moreover, the presence of oxide in these layers would definitely increase the difficulty to obtain quantitative profiles by SIMS. We highlight here the improvements brought by the extended Full Spectrum protocol, presented in previous works and allowing minimization of matrix effects in SiGe matrices compared with more classic protocols. This results in more accurate depth profiles, and thus brings better comprehension of the behavior of intrinsic and doped layers under dry or wet oxidizing.
- Published
- 2012
- Full Text
- View/download PDF
6. 200mm & 300mm Processes & Characterization for Face to Back Flow Chart for Wide I/O
- Author
-
P. Chausse, C. Brunet-Manquat, Christophe Aumont, A. Jouve, P. Coudrain, Severine Cheramy, Roselyne Segaud, J. P. Colonna, N. Sillon, N. Hotellier, G. Garnier, and C. Laviron
- Subjects
Engineering drawing ,Engineering ,business.product_category ,business.industry ,Interface (computing) ,Electrical engineering ,Chart ,Power consumption ,Face (geometry) ,Die (manufacturing) ,Pharmacology (medical) ,Point (geometry) ,Wafer ,business ,Backflow - Abstract
3D integration so far has often been investigated through a face to face point of view: the top die FEOL is in front of bottom die FEOL. This allows a dense connectivity between both dies, but TSV are mandatory on the bottom die for each external exchange. Another flow chart, which main application is identified as the Wide I/O, is “face to back”: the FEOL of the top die faces to the back side of the bottom dies. To communicate between top & bottom, interconnections then TSVs are needed. Advantage is that the bottom die, for Wide I/O the logic dies, faces directly to the board allowing a rapid communication with external. Also, the Wide I/O interface delivers high bandwidth at relatively low power consumption level. The objective of this paper is to show latest integration at Leti, both on 200mm & 300mm wafers, using face to back integration. If we compare both flow charts, using via middle technology, the main challenge consists of the temporary bonding. The temporary adhesive needs to be at least 50 μm thick, even more depending on staking technology on board, and in presence of the macro connection. The choice of the adhesive is crucial for the final stability of the stack during back side process and also during debonding, even more on 300mm wafers. Technical developments are introduced in the paper. A specific focus is done on temporary adhesive & the associated thermal stability of the stack. Impact of the temporary bonding on copper pillar is evaluated. Assembly of best processes for a full integration on daisy chain wafers, both 200 & 300 wafers is described. Finally, a comparison of electrical datas (resistance, capacitance, isolation) for both wafer diameters is given. Morphological characterization finalizes this first integration and leads to further potential improvements.
- Published
- 2012
- Full Text
- View/download PDF
7. Silicon Interposer Creation Using Innovative Ultra-Thin Wafer Handling Solutions
- Author
-
Amandine Jouve, G. Garnier, J. McCutcheon, N. Sillon, J-P. Colonna, M. Pellat, gaud, E. Dezandre, R. Puligadda, S. Cheramy, R. Sandeacute, and K. Vial
- Subjects
Materials science ,Silicon ,Frame (networking) ,Process (computing) ,chemistry.chemical_element ,Mechanical engineering ,Silicon interposer ,Die preparation ,chemistry ,Forensic engineering ,Wafer testing ,Pharmacology (medical) ,Wafer dicing ,Wafer - Abstract
Three-dimensional (3-D) wafer stacking technologies are receiving an increasing interest, even if it poses forward new challenges in the development of through silicon vias (TSVs) and thin wafer handling technologies. Several approaches have been developed these years for wafer separation. Even if many examples can be found in literature of the slide-off debonding use for TSVs applications, the thermoplastic materials required for this technique could be limiting for future 3D backside processes. Therefore, innovative room temperature debonding process, including special carrier treatment, has been recently developed to enable a vertical carrier/device separation on a dicing frame at room temperature. The purpose of this paper is to demonstrate the feasibility of this innovative temporary bonding technique and associated equipments. In a first time we have compared 3 temporary materials stability in a short loop process representative of critical backside integration steps. From these results we identify ...
- Published
- 2012
- Full Text
- View/download PDF
8. Defects-induced gap states in hydrogenated γ-alumina used as blocking layer for non-volatile memories
- Author
-
Marc Gely, G. Molas, Jean-Paul Barnes, L. Masoero, P. Blaise, B. De Salvo, Gerard Ghibaudo, and J. P. Colonna
- Subjects
Condensed matter physics ,Band gap ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Flash memory ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Non-volatile memory ,chemistry.chemical_compound ,Tunnel effect ,Tantalum nitride ,chemistry ,Silicon nitride ,Electrical and Electronic Engineering ,Quantum tunnelling ,High-κ dielectric - Abstract
Electronic conduction through alumina used as a blocking layer for non-volatile memories is one of the fundamental limits for the downscaling of TANOS (TaN-Al"2O"3-Si"3N"4-SiO"2-Si) devices. Especially, it has been shown that the leakage current through Al"2O"3 that affects the memory retention characteristic is probably related to some trap assisted tunnelling. In this work we use atomistic calculations to find potential defects that could induce electronic levels inside the band gap of alumina.
- Published
- 2011
- Full Text
- View/download PDF
9. Growth and Thermal Stability of SiGe/Si Superlattices on Bulk Si Wafers
- Author
-
T. Billon, Thomas Ernst, Anne-Marie Papon, J. P. Colonna, and Jean-Michel Hartmann
- Subjects
Materials science ,business.industry ,Superlattice ,Optoelectronics ,Thermal stability ,Wafer ,business - Abstract
Deals with (i) the growth and thermal stability of large number or periods SiGe/Si superlattices on bulk Si and (ii) the impact of B and P doping and of C alloying of SiGe on the growth kinetics of SiGe/Si superlattices.
- Published
- 2008
- Full Text
- View/download PDF
10. Investigation of hafnium-aluminate alloys in view of integration as interpoly dielectrics of future Flash memories
- Author
-
C. Bongiorno, Marc Gely, Helen Grampeix, Simon Deleonibus, Gabriel Molas, P. Brianceau, Marc Bocquet, Barbara De Salvo, François Martin, Xavier Garros, Thomas Veyront, Névine Rochat, J. P. Colonna, Salvatore Lombardo, Christophe Licitra, Julien Buckley, V. Vidal, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
business.industry ,Electrical engineering ,chemistry.chemical_element ,High voltage ,Dielectric ,Condensed Matter Physics ,Flash memory ,Poole–Frenkel effect ,Electronic, Optical and Magnetic Materials ,Hafnium ,Non-volatile memory ,chemistry ,Materials Chemistry ,Optoelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Electrical and Electronic Engineering ,business ,Low voltage ,ComputingMilieux_MISCELLANEOUS ,High-κ dielectric - Abstract
In this paper, we evaluate the potentialities of hafnium-aluminates (HfAlO) materials as possible candidates for the interpoly dielectrics of future Flash memory devices. HfAlO layers of different thicknesses and compositions are integrated in single-layers and in Oxide/HfAlO/Oxide (OHO) triple-layer stacks, and analyzed in terms of coupling and insulating capabilities. We demonstrate that increasing the Hf content allows reducing the leakage current at high voltages but it results in a stronger leakage current at low voltages. We also show that once normalized in electric fields, the leakage current characteristics are independent of the high-k thickness. The electron conduction modes in these materials, at different temperatures, are also investigated. The activation energy increases with the Hf concentration in the HfAlO alloy, resulting in a higher leakage current at elevated temperatures. Finally, it is demonstrated that the conduction in triple-layer stacks is limited by a Poole–Frenkel conduction in the high-k layers, while the trap contribution in the case of single-layers becomes dominant when the HfAlO layer is thicker than 8 nm.
- Published
- 2007
- Full Text
- View/download PDF
11. Erbium implanted silicon rich oxide thin films suitable for slot waveguides applications
- Author
-
F. Milesi, Aleksei Anopchenko, Olivier Jambois, N. Prtljaga, A. Marconi, Daniel Navarro-Urrios, J. P. Colonna, Nicola Daldosso, Lorenzo Pavesi, Blas Garrido, and Jean-Marc Fedeli
- Subjects
Materials science ,Silicon ,thin film ,Annealing (metallurgy) ,Oxide ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,7. Clean energy ,01 natural sciences ,Nanoclusters ,Inorganic Chemistry ,Slot-waveguide ,Erbium ,chemistry.chemical_compound ,0103 physical sciences ,SI NANOCRYSTALS ,erbium ,Waveguides ,Electrical and Electronic Engineering ,Physical and Theoretical Chemistry ,Thin film ,Spectroscopy ,010302 applied physics ,business.industry ,Organic Chemistry ,021001 nanoscience & nanotechnology ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,chemistry ,Optoelectronics ,0210 nano-technology ,business - Abstract
Thin (50 nm) erbium implanted silicon rich oxide films suitable for slot waveguides applications have been produced and studied by means of optical spectroscopy and structural characterisation techniques. Comparison between different deposition techniques in terms of light emitting properties of erbium ions is presented. Special attention is given to the efficiency improvement of the energy transfer from silicon nanoclusters to erbium ions where the type of annealing treatment is proven to be of crucial importance.
- Published
- 2011
- Full Text
- View/download PDF
12. Electrically pumped Er-doped light emitting slot waveguides for on-chip optical routing at 1.54 μm
- Author
-
N. Prtljaga, P. Rivallin, Federico Ferrarese Lupi, B. Garrido, Lorenzo Pavesi, J-M. Fedeli, Aleksei Anopchenko, A. Tengattini, Yonder Berencén, Joan Manel Ramirez, J. P. Colonna, and Daniel Navarro-Urrios
- Subjects
Materials science ,business.industry ,Attenuation ,Photonic integrated circuit ,Physics::Optics ,Grating ,Electroluminescence ,Signal ,law.invention ,Optics ,law ,Electrode ,Optoelectronics ,Photonics ,business ,Waveguide - Abstract
Optoelectronic properties of Er 3+ -doped slot waveguides electrically driven are presented. The active waveguides have been coupled to a Si photonic circuit for the on-chip distribution of the electroluminescence (EL) signal at 1.54 μm. The Si photonic circuit was composed by an adiabatic taper, a bus waveguide and a grating coupler for vertical light extraction. The EL intensity at 1.54 μm was detected and successfully guided throughout the Si photonic circuit. Different waveguide lengths were studied, finding no dependence between the waveguide length and the EL signal due to the high propagation losses measured. In addition, carrier injection losses have been observed and quantified by means of time-resolved measurements, obtaining variable optical attenuation of the probe signal as a function of the applied voltage in the waveguide electrodes. An electro-optical modulator could be envisaged if taking advantage of the carrier recombination time, as it is much faster than the Er emission lifetime.
- Published
- 2013
- Full Text
- View/download PDF
13. Er-doped light emitting slot waveguides monolithically integrated in a silicon photonic chip
- Author
-
A. Tengattini, N. Prtljaga, B. Garrido, J-M. Fedeli, P. Rivallin, Olivier Jambois, Lorenzo Pavesi, Daniel Navarro-Urrios, Federico Ferrarese Lupi, Joan Manel Ramirez, Aleksei Anopchenko, Yonder Berencén, J. P. Colonna, European Commission, Ministerio de Ciencia e Innovación (España), and Generalitat de Catalunya
- Subjects
Materials science ,Physics::Optics ,Bioengineering ,Optical power ,02 engineering and technology ,Grating ,7. Clean energy ,01 natural sciences ,Signal ,law.invention ,Slot-waveguide ,Optics ,law ,0103 physical sciences ,General Materials Science ,Electrical and Electronic Engineering ,010302 applied physics ,Silicon photonics ,business.industry ,Mechanical Engineering ,Photonic integrated circuit ,General Chemistry ,021001 nanoscience & nanotechnology ,Mechanics of Materials ,Optoelectronics ,0210 nano-technology ,business ,Waveguide ,Light-emitting diode - Abstract
An integrated erbium-based light emitting diode has been realized in a waveguide configuration allowing 1.54 μm light signal routing in silicon photonic circuits. This injection device is based on an asymmetric horizontal slot waveguide where the active slot material is Er3+ in SiO 2 or Er3+ in Si-rich oxide. The active horizontal slot waveguide allows optical confinement, guiding and lateral extraction of the light for on-chip distribution. Light is then coupled through a taper section to a passive Si waveguide terminated by a grating which extracts (or inserts) the light signal for measuring purposes. We measured an optical power density in the range of tens of μW/cm2 which follows a super-linear dependence on injected current density. When the device is biased at high current density, upon a voltage pulse (pump signal), free-carrier and space charge absorption losses become large, attenuating a probe signal by more than 60 dB/cm and thus behaving conceptually as an electro-optical modulator. The integrated device reported here is the first example, still to be optimized, of a fundamental block to realize an integrated silicon photonic circuit with monolithic integration of the light emitter. © 2013 IOP Publishing Ltd., This work was supported by the Spanish Ministry of Science through the project LASSI (TEC2009-08359), by the EC through the project ICT-FP7-224312 HELIOS and by Italy–Spain integrated actions. D N-U is grateful for the financial support of AGAUR through the Beatriu de Pinòs program.
- Published
- 2013
14. Towards efficient and reliable 300mm 3D technology for wide I/O interconnects
- Author
-
J. Pruvost, Christophe Aumont, L. Gabette, G. Garnier, A. Jouve, K. Vial, R. Segaud, Perceval Coudrain, Pascal Besson, C. Brunet-Manquat, T. Mourier, T. Magis, C. Laviron, E. Saugier, J.-P. Colonna, Severine Cheramy, Nacima Allouti, P. Chausse, Alexis Farcy, and N. Hotellier
- Subjects
Engineering ,Reliability (semiconductor) ,CMOS ,business.industry ,Ball grid array ,Electronic engineering ,Electrical engineering ,Process (computing) ,Node (circuits) ,business ,Realization (systems) ,Die (integrated circuit) - Abstract
This paper presents the prototype of a 3D circuit with Wide I/O interconnects in a 65nm CMOS node, assembled in a face-to-back integration and reported on a BGA. The process technology carried out for the realization of the bottom die will be presented in both 200mm and 300mm environment. Finally, the 3D assembly will be successfully assessed through electrical and reliability tests, concretising the realism of a 3D technology for future Wide I/O products.
- Published
- 2012
- Full Text
- View/download PDF
15. Physical Understanding of Program Injection and Consumption in Ultra-Scaled SiN Split-Gate Memories
- Author
-
D. Lafond, P. Brianceau, A. De Luca, S. Pauliac, Simon Deleonibus, G. Molas, F. Aussenac, Vincent Delaye, B. De Salvo, C. Comboroure, C. Carabasse, L. Masoero, Philippe Boivin, C. Charpin, V. Della Marca, Marc Gely, J. P. Colonna, Gerard Ghibaudo, O. Cueto, Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Engineering ,business.industry ,Transistor ,020206 networking & telecommunications ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,Gate voltage ,01 natural sciences ,law.invention ,Semiconductor storage ,law ,Electric field ,Logic gate ,0103 physical sciences ,Memory architecture ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,High electron ,business ,Scaling - Abstract
International audience; In this work, a detailed study of the physical mechanisms governing the Source Side Injection programming in ultra-scaled (down to 20nm) SiN split-gate memories is presented. Experimental measurements coupled to static and dynamic TCAD simulations are shown. In particular, we claim that adjusting the select gate voltage in moderate inversion allows for the optimization of the compromise between high electron injection and limited consumption. Then, we show that scaling the dimensions of the select gate can induce a higher consumption, while scaling the memory gate leads to lower programming energy (
- Published
- 2012
- Full Text
- View/download PDF
16. Bipolar pulsed excitation of erbium-doped nanosilicon light emitting diodes
- Author
-
Lorenzo Pavesi, N. Prtljaga, Aleksei Anopchenko, J-M. Fedeli, A. Marconi, Yonder Berencén, J. P. Colonna, F. Milesi, Olivier Jambois, Daniel Navarro-Urrios, Joan Manel Ramirez, A. Tengattini, B. Garrido, and Universitat de Barcelona
- Subjects
Materials science ,Silicon ,Fotònica ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,Electroluminescence ,7. Clean energy ,01 natural sciences ,law.invention ,Erbium ,law ,0103 physical sciences ,010302 applied physics ,business.industry ,Direct current ,Doping ,technology, industry, and agriculture ,Integrated optics ,021001 nanoscience & nanotechnology ,Photonics ,chemistry ,Òptica integrada ,Optoelectronics ,Quantum efficiency ,0210 nano-technology ,business ,Excitation ,Light-emitting diode - Abstract
High quantum efficiency erbium doped silicon nanocluster (Si-NC:Er) light emitting diodes (LEDs) were grown by low-pressure chemical vapor deposition (LPCVD) in a complementary metal-oxide-semiconductor (CMOS) line. Erbium (Er) excitation mechanisms under direct current (DC) and bipolar pulsed electrical injection were studied in a broad range of excitation voltages and frequencies. Under DC excitation, Fowler-Nordheim tunneling of electrons is mediated by Er-related trap states and electroluminescence originates from impact excitation of Er ions. When the bipolar pulsed electrical injection is used, the electron transport and Er excitation mechanism change. Sequential injection of electrons and holes into silicon nanoclusters takes place and nonradiative energy transfer to Er ions is observed. This mechanism occurs in a range of lower driving voltages than those observed in DC and injection frequencies higher than the Er emission rate.
- Published
- 2012
17. Erbium emission in MOS light emitting devices: from energy transfer to direct impact excitation
- Author
-
N. Prtljaga, J. P. Colonna, A. Marconi, Olivier Jambois, B. Garrido, Aleksei Anopchenko, Daniel Navarro-Urrios, Yonder Berencén, J-M. Fedeli, Joan Manel Ramirez, Lorenzo Pavesi, Federico Ferrarese Lupi, A. Tengattini, and Universitat de Barcelona
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,Bioengineering ,02 engineering and technology ,Electroluminescence ,01 natural sciences ,7. Clean energy ,Nanoclusters ,Erbium ,0103 physical sciences ,Compostos de silici ,Silicon compounds ,General Materials Science ,Electrical and Electronic Engineering ,Silicon oxide ,Metal oxide semiconductors ,010302 applied physics ,business.industry ,Mechanical Engineering ,Doping ,General Chemistry ,021001 nanoscience & nanotechnology ,Metall-òxid-semiconductors ,Transferència d'energia ,chemistry ,Mechanics of Materials ,Energy transfer ,Excited state ,Optoelectronics ,0210 nano-technology ,business ,Excitation - Abstract
The electroluminescence (EL) at 1.54νm of metaloxidesemiconductor (MOS) devices with Er 3+ ions embedded in the silicon-rich silicon oxide (SRSO) layer has been investigated under different polarization conditions and compared with that of erbium doped SiO 2 layers. EL time-resolved measurements allowed us to distinguish between two different excitation mechanisms responsible for the Er 3+ emission under an alternate pulsed voltage signal (APV). Energy transfer from silicon nanoclusters (Si-ncs) to Er 3+ is clearly observed at low-field APV excitation. We demonstrate that sequential electron and hole injection at the edges of the pulses creates excited states in Si-ncs which upon recombination transfer their energy to Er 3+ ions. On the contrary, direct impact excitation of Er 3+ by hot injected carriers starts at the FowlerNordheim injection threshold (above 5MVcm 1) and dominates for high-field APV excitation.
- Published
- 2012
18. Scalability of split-gate charge trap memories down to 20nm for low-power embedded memories
- Author
-
P. Brianceau, D. Lafond, Alain Toffoli, A. De Luca, C. Charpin, R. Kies, F. Aussenac, Simon Deleonibus, O. Cueto, J. P. Colonna, C. Comboroure, S. Pauliac, G. Molas, C. Carabasse, Vincent Delaye, Marc Gely, Etienne Nowak, Gerard Ghibaudo, L. Masoero, V. Della Marca, Francesca Brun, B. De Salvo, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Silicon ,Hybrid silicon laser ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Dielectric ,01 natural sciences ,Trap (computing) ,chemistry.chemical_compound ,Hardware_GENERAL ,0103 physical sciences ,Charge trap flash ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,010302 applied physics ,business.industry ,Charge (physics) ,021001 nanoscience & nanotechnology ,chemistry ,Silicon nitride ,Scalability ,Optoelectronics ,0210 nano-technology ,business - Abstract
International audience; In this work, split-gate charge trap memories with electrical gate length down to 20nm are presented for the 1 st time. Silicon nanocristals (Si-ncs), or silicon nitride (Si 3 N 4) and hybrid Si-nc/SiN based split-gate memories, with SiO 2 or Al 2 O 3 control dielectrics, are compared in terms of program erase and retention. Then, the scalability of split-gate charge trap memories is studied, investigating the impact of gate length reduction on the memory window, retention and consumption. The results are analyzed by means of TCAD simulations.
- Published
- 2011
- Full Text
- View/download PDF
19. 154µm Er doped light emitting devices: Role of silicon content
- Author
-
Joan Manel Ramirez, J-M. Fedeli, N. Prtljaga, J. P. Colonna, Daniel Navarro-Urrios, Aleksei Anopchenko, Yonder Berencén, F. Milesi, A. Tengattini, Lorenzo Pavesi, Olivier Jambois, A. Marconi, and B. Garrido
- Subjects
inorganic chemicals ,Materials science ,Silicon ,chemistry.chemical_element ,02 engineering and technology ,Electroluminescence ,complex mixtures ,01 natural sciences ,Ion ,law.invention ,Erbium ,law ,0103 physical sciences ,010302 applied physics ,business.industry ,Doping ,technology, industry, and agriculture ,equipment and supplies ,021001 nanoscience & nanotechnology ,Active layer ,stomatognathic diseases ,chemistry ,Nanocrystal ,Optoelectronics ,0210 nano-technology ,business ,Light-emitting diode - Abstract
Thin erbium implanted silicon rich oxides films have been used as active layer in light emitting devices. Electroluminescence has been observed and analyses as a function of the silicon content.
- Published
- 2011
- Full Text
- View/download PDF
20. Ultrafast nonlinear dynamics in silicon nanocrystal-based horizontal slot waveguides
- Author
-
Javier Martí, J-M. Fedeli, Joaquin Matres, C. Ratin, J. P. Colonna, Claudio J. Oton, and Alejandro Martínez
- Subjects
Nonlinear system ,Optics ,Amplitude ,Silicon photonics ,Materials science ,business.industry ,Dispersion (optics) ,Phase (waves) ,Physics::Optics ,Photonics ,business ,Ultrashort pulse ,Waveguide (optics) - Abstract
We present the characterization of the nonlinear-dynamics of a CMOS-compatible horizontal-slot waveguide with silicon-nanocrystals, where the temporal behavior of the phase and amplitude of the nonlinear response are simultaneously monitored. These results are complemented with four-wave-mixing measurements.
- Published
- 2011
- Full Text
- View/download PDF
21. Four-wave-mixing efficiency and conversion bandwidth in silicon-nanocrystals slot waveguides fabricated by PECVD
- Author
-
Cosimo Lacava, J-P. Colonna, A. Trita, J-M. Fedeli, P. Gautier, and Ilaria Cristiani
- Subjects
Materials science ,Silicon photonics ,Silicon ,business.industry ,Nanophotonics ,Physics::Optics ,chemistry.chemical_element ,Microstructured optical fiber ,Waveguide (optics) ,Slot-waveguide ,Computer Science::Hardware Architecture ,Four-wave mixing ,Computer Science::Emerging Technologies ,chemistry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,business ,Refractive index ,Hardware_LOGICDESIGN - Abstract
The demand for optical circuits with integrated signal processing functions is pushing for the development of efficient and CMOS-compatible nonlinear optical elements.
- Published
- 2011
- Full Text
- View/download PDF
22. Study of defects in Al2O3 blocking layers of TANOS memories by atomistic simulation, electrical characterization and physico-chemical material analyses
- Author
-
A.M. Papon, P. Blaise, J.P. Barnes, Luca Selmi, Marc Gely, L. Masoero, B. De Salvo, F. Martin, J. P. Colonna, Elisa Vianello, Gerard Ghibaudo, D. Lafond, G. Molas, and Christophe Licitra
- Subjects
Blocking layer ,Materials science ,Chemical physics ,Annealing (metallurgy) ,Electronic engineering ,Energy level ,Hydrogen content ,Hydrogen concentration ,Thermal conduction ,Aluminum oxide - Abstract
In this work we investigate the correlation between hydrogen content and leakage current through the Al 2 O 3 layers of TANOS memories. We put in evidence that retention of TANOS memories is improved with the decrease of H concentration in the Al 2 O 3 layer. Using atomistic simulations consolidated by detailed Al 2 O 3 physico-chemical analyses, we find that interstitial H produces a midgap trap likely to participate to trap assisted conduction.
- Published
- 2011
- Full Text
- View/download PDF
23. Investigation of charge-trap memories with AlN based band engineered storage layers
- Author
-
P. Brianceau, L. Vandroux, Marc Gely, J. P. Colonna, D. Belhachemi, A.M. Papon, Gerard Ghibaudo, Eugénie Martinez, R. Kies, G. Molas, V. Vidal, Christophe Licitra, Marc Bocquet, B. De Salvo, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,02 engineering and technology ,01 natural sciences ,Electric charge ,chemistry.chemical_compound ,0103 physical sciences ,Materials Chemistry ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,Double layer (biology) ,business.industry ,Aluminium nitride ,Electrical engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry ,Silicon nitride ,Optoelectronics ,Erasure ,0210 nano-technology ,business ,Low voltage ,Layer (electronics) ,Voltage - Abstract
This paper presents the investigation of the electrical properties of charge-trap memories with AlN based storage layers. The memory performance and reliability are studied in details and compared with the ones of a reference device using standard Si 3 N 4 as storage layer. An engineered charge trapping layer is also proposed, made by an AlN/Si 3 N 4 double layer, which shows reduced program/erase voltages, combined with 10 6 excellent endurance and good retention (Δ V T > 5 V after 10 years at 125 °C).
- Published
- 2011
- Full Text
- View/download PDF
24. Study of parasitic trapping in alumina used as blocking oxide for nonvolatile memories
- Author
-
Philippe Blaise, Jean-Paul Barnes, Gabriel Molas, Marc Veillerot, Névine Rochat, J.-P. Colonna, K. Yckache, D. Lafond, Christophe Licitra, H. Grampeix, Marc Bocquet, L. Masoero, Vincent Vidal, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
Materials science ,Hydrogen ,Annealing (metallurgy) ,Band gap ,Process Chemistry and Technology ,Analytical chemistry ,Oxide ,chemistry.chemical_element ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,law ,Desorption ,Materials Chemistry ,[SPI.GPROC]Engineering Sciences [physics]/Chemical and Process Engineering ,Electrical and Electronic Engineering ,Thin film ,Crystallization ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Instrumentation - Abstract
International audience; Alumina layers deposited by Atomic Layer Deposition followed by Rapid Thermal anneal were characterized. We found that the crystallization of alumina in γ-phase occurs between 700 and 850°C. Optical band gap, stress and density were found to increase upon crystallization Hydrogen content in alumina was characterized by ToF-SIMS and infrared spectroscopy. We found that annealing ambience has a strong influence on hydrogen concentration: oxygen favors hydrogen desorption from alumina. Finally, charge trapping in alumina was characterized by C(V) measurements. A strong correlation between hydrogen concentration and trapping was established.
- Published
- 2011
- Full Text
- View/download PDF
25. Growth and In-line Characterization of Silicon Nanodots Integrated in Discrete Charge Trapping Non-volatile Memories
- Author
-
E. Jalaguier, J-P. Colonna, Magali Putero, P. Maillot, Damien Deleruyelle, V. Della Marca, Ch. Muller, J. Amouroux, L. Fares, E. Petit, Philippe Boivin, Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics, and Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Materials science ,Silicon ,Nucleation ,chemistry.chemical_element ,Nanotechnology ,Crystal growth ,Context (language use) ,02 engineering and technology ,Chemical vapor deposition ,021001 nanoscience & nanotechnology ,01 natural sciences ,chemistry ,0103 physical sciences ,Deposition (phase transition) ,Wafer ,Nanodot ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
Non-Volatile Memories (NVM) integrating silicon nanodots (noted SDs) are considered as an emerging solution to extend Flash memories downscaling. In this alternative memory technology, silicon nanocrystals act as discrete traps for injected charges.Si-dots were grown by Low Pressure Chemical Vapor Deposition (LPCVD) on top of tunnel oxide. Depending on the pre-growth surface treatment, tunnel oxide surface may present either siloxane or silanol groups. SDs deposition relies on a 2–steps process: nucleation by SiH4 and selective growth with SiH2Cl2.In a context of technological industrialization, it is of primary importance to develop in-line metrology tools dedicated to Si-dots growth process control. Hence, silicon-dots were observed in top view by using an in-line Critical Dimension Scanning Electron Microscopy CDSEM and their average size and density were extracted from image processing. In addition, Haze measurement, generally used for bare silicon surface characterization, was customized to quantify Si-dots deposition uniformity over the wafer. Finally, Haze value was correlated to Si nanodots density and size determined by CDSEM.
- Published
- 2011
- Full Text
- View/download PDF
26. Effect of the annealing treatments on the transport and electroluminescence properties of SiO2 layers doped with Er and Si nanoclusters
- Author
-
Joan Manel Ramirez, Olivier Jambois, J-M. Fedeli, A. Marconi, Lorenzo Pavesi, N. Prtljaga, Sergi Hernández, B. Garrido, Nicola Daldosso, Daniel Navarro-Urrios, Aleksei Anopchenko, Yonder Berencén, and J. P. Colonna
- Subjects
Materials science ,Annealing (metallurgy) ,business.industry ,Doping ,chemistry.chemical_element ,Electroluminescence ,Nanoclusters ,Erbium ,chemistry ,Electrical resistivity and conductivity ,Optoelectronics ,Quantum efficiency ,Luminescence ,business - Abstract
We studied the effect of RTP and furnace annealing on the transport properties and electroluminescence of Si-nc embedded in SiO2 layers, and of Er ions coupled to Si-nc. The light emitting devices have been fabricated in a CMOS line by implantation of Si and Er in SiO2. The results show that for the same annealing temperature, furnace annealing decreases electrical conductivity and increases probability of impact excitation, which leads to an improved external quantum efficiency. Correlations between phenomenological transport models, annealing regimes, and erbium electroluminescence are observed and discussed.
- Published
- 2011
- Full Text
- View/download PDF
27. Investigation of the role of H-related defects in Al2O3 blocking layer on charge-trap memory retention by atomistic simulations and device physical modelling
- Author
-
M. Gasulla, E. Nowak, Elisa Vianello, J.P. Barnes, Marc Gely, Luca Larcher, A.M. Papon, François Martin, L. Masoero, P. Blaise, Andrea Padovani, Simon Deleonibus, J. P. Colonna, D. Lafond, P. Brianceau, R. Kies, G. Molas, Christophe Licitra, H. Grampeix, Gerard Ghibaudo, O. Cueto, Marc Bocquet, and B. De Salvo
- Subjects
Atomic layer deposition ,Materials science ,Hydrogen ,chemistry ,Annealing (metallurgy) ,Chemical physics ,Quantum simulator ,chemistry.chemical_element ,Energy level ,Nanotechnology ,Quantum ,Leakage (electronics) ,Photonic crystal - Abstract
In this work, we use atomistic simulation, consolidated by a detailed Al 2 O 3 physico-chemical material analysis, to investigate the origin of traps in Al 2 O 3 (in particular, Al- or O-vacancies and H-interstitials). It is shown that the leakage currents through Al 2 O 3 layers, with different post-deposition anneals, are strictly correlated to the H content. Then, for the first time at our knowledge, the hydrogen-based trap features estimated by quantum simulations are introduced in a TANOS device simulator. A very good agreement is obtained between model and device experimental data, allowing for a clear understanding of the role of alumina H content on the retention characteristics of charge-trap memories.
- Published
- 2010
- Full Text
- View/download PDF
28. Investigation of charge-trap memories with AlN based band engineered storage layers
- Author
-
Marc Gely, G. Molas, R. Kies, D. Belhachemi, P. Brianceau, L. Vandroux, J. P. Colonna, Marc Bocquet, B. De Salvo, Gerard Ghibaudo, and V. Vidal
- Subjects
Double layer (biology) ,Materials science ,Silicon ,business.industry ,Wide-bandgap semiconductor ,chemistry.chemical_element ,Dielectric ,Circuit reliability ,chemistry ,Electronic engineering ,Optoelectronics ,business ,Tin ,Layer (electronics) ,Voltage - Abstract
This paper presents an in-depth investigation of the electrical properties of charge trap memories with AlN based storage layers. The memory performance and reliability are studied in details and compared with the ones of a reference device using standard Si 3 N 4 as storage layer. An engineered charge trapping layer is also proposed, made by an AlN/Si 3 N 4 double layer, which shows reduced program/erase voltages, combined with 106 excellent endurance and good retention (ΔV T ≫5V after 10 years at 125°C).
- Published
- 2010
- Full Text
- View/download PDF
29. Ultrafast all-optical logic gates with silicon nanocrystal-based slot waveguides
- Author
-
Joaquin Matres, Pablo Sanchis, J-M. Fedeli, Claudio J. Oton, J. P. Colonna, Javier Martí, Alejandro Martínez, and C. Ratin
- Subjects
Silicon photonics ,Materials science ,Silicon ,business.industry ,Physics::Optics ,chemistry.chemical_element ,Power (physics) ,Slot-waveguide ,Interferometry ,Optics ,chemistry ,Logic gate ,business ,XOR gate ,Ultrashort pulse - Abstract
We report an ultrafast (
- Published
- 2010
30. Passivated TiN nanocrystals/SiN trapping layer for enhanced erasing in nonvolatile memory
- Author
-
G. Gay, D. Belhachemi, J. P. Colonna, S. Minoret, P. Brianceau, D. Lafond, T. Baron, G. Molas, E. Jalaguier, A. Beaurain, B. Pelissier, V. Vidal, B. De Salvo, Laboratoire des technologies de la microélectronique (LTM), Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), and Clot, Marielle
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,Passivation ,Silicon ,business.industry ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Chemical vapor deposition ,021001 nanoscience & nanotechnology ,01 natural sciences ,Titanium nitride ,Non-volatile memory ,chemistry.chemical_compound ,chemistry ,X-ray photoelectron spectroscopy ,Silicon nitride ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Tin ,ComputingMilieux_MISCELLANEOUS - Abstract
We present chemical vapor deposition of titanium nitride nanocrystals (ncs) on silicon nitride (SiN). Ncs are passivated in situ by a silicon shell and encapsulated in SiN. High density (3×1012 cm−2), crystalline and isolated ncs are observed by transmission electron microscopy and characterized by x-ray photoelectron spectroscopy. TiN ncs/SiN are integrated as charge trapping layer in a nonvolatile memory. Devices show large memory window (10 V) and fast erasing compared to devices using pure SiN trapping layer, explained by enhanced electrical field in SiN. Acceptable reliability in terms of cycling and data retention is also demonstrated.
- Published
- 2010
31. Engineering of the nitride charge trapping layer for non-volatile memory
- Author
-
Marc Bocquet, J-P. Colonna, R. Kies, J-P. Barnes, Marc Gely, Etienne Nowak, G. Molas, Christophe Licitra, Marc Veillerot, Névine Rochat, and K. Yckache
- Subjects
chemistry.chemical_compound ,Total internal reflection ,Materials science ,Silicon nitride ,chemistry ,Silicon ,Ellipsometry ,Analytical chemistry ,Infrared spectroscopy ,chemistry.chemical_element ,Chemical vapor deposition ,Nitride ,Refractive index - Abstract
Three different nitride-based trapping layers have been investigated: a standard silicon nitride, a Silicon-rich and an Oxygen-rich silicon nitride deposited by Low Pressure Chemical Vapor Deposition (LPCVD). First the physical properties of the films are studied. A gap of 5.3eV and a refractive index of 2.07 were found for the standard Silicon Nitride using spectroscopic ellipsometry. Excess silicon reduces the gap to 4.7eV and increases the refractive index to 2.24. Excess oxygen increases the gap to 5.8eV and reduces the refractive index to 1.84. Hydrogen content in the three layers was also investigated by infrared Multi Internal Reflection (MIR) Spectrometry and Time of Flight Secondary Ion Mass Spectroscopy (ToF-SIMS). Then electrical characterization was performed on the three different trapping layers in a SONOS structure. Program/Erase characteristics and data retention were tested in Fowler-Nordheim (FN) mode. Excess silicon improves erasing but degrades data retention while excess oxygen slows erasing characteristic but improves data retention.
- Published
- 2010
- Full Text
- View/download PDF
32. Layered HfSiON-based tunnel stacks for voltage reduction and improved reliability in TANOS memories
- Author
-
G. Molas, François Martin, Christophe Licitra, J. P. Colonna, H. Grampeix, Gerard Ghibaudo, R. Kies, J.P. Barnes, P. Brianceau, G. Pananakakis, A.M. Papon, H. Dansas, Marc Bocquet, B. De Salvo, V. Vidal, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Materials science ,Voltage reduction ,02 engineering and technology ,Memory retention ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,Reliability (semiconductor) ,Stack (abstract data type) ,Logic gate ,0103 physical sciences ,Correlation analysis ,Electronic engineering ,Correlation method ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS ,Voltage - Abstract
In this work we present the integration of Band Engineered TANOS-like memories using HfSiON in the tunnel stack to boost the programming efficiency and improve cycling. An accurate correlation analysis between the gate-stack material physical properties and the memory performances is presented. In particular, the importance of the nitridation step of HfSiON on the memory retention characteristics at high temperature is suggested.
- Published
- 2010
- Full Text
- View/download PDF
33. Hybrid silicon nanocrystals/SiN charge trapping layer with high-k dielectrics for FN and CHE programming
- Author
-
G. Gay, G. Molas, M. Bocquet, E. Jalaguier, M. Gely, L. Masarotto, J. P. Colonna, H. Grampeix, F. Martin, P. Brianceau, V. Vidal, R. Kies, C. Bongiorno, S. Lombardo, T. Baron, G. Ghibaudo, and B. De Salvo
- Subjects
Coupling ,Materials science ,Silicon ,business.industry ,Electrical engineering ,NAND gate ,chemistry.chemical_element ,Nitride ,Non-volatile memory ,Nanocrystal ,chemistry ,Optoelectronics ,business ,High-κ dielectric ,Hot-carrier injection - Abstract
Silicon nanocrystal (Si-nc) trapping layers offer several advantages on standard poly-Si floating gates, as improved data retention after endurance in particular at high temperatures [1][2], robustness toward oxide defects, two-bits per cell storage and full compatibility toward CMOS process. It has also been shown that coupling the Si-nc concept with high-k control dielectrics, by improving the gate coupling ratio, enables Fowler-Nordheim (FN) program/erase [3]. However, one of the key limitations of Si-nc memories is the limited memory window which is not suitable for multi-level memory applications. The use of two stacked Si-ncs layers to increase the number of trapping sites has been previously discussed in the literature with a SiO 2 control oxide [4]. In this work, we present memory devices with double stacked Si-nc layers and high-k (HfAlO-based) control dielectrics. We also propose to cover the 2nd Si-nc layer with a thin nitride layer (leading to an hybrid Si-nc / SiN memory structure [3][5]) in order to boost further the memory characteristics. We will show that these devices offer improved memory programming window both in FN regime [6] and in channel hot electron injection (CHE), which makes them compatible with NAND and NOR applications. Finally, a model involving valence band electrons from the top Si-ncs layer is proposed to explain the electrical results.
- Published
- 2010
34. Direct Probing of Trapped Charge Dynamics in SiN by Kelvin Force Microscopy
- Author
-
J. P. Colonna, Francesco Driussi, N. Chevalier, Etienne Nowak, G. Molas, D. Mariolle, Elisa Vianello, Luca Selmi, and L. Perniola
- Subjects
Kelvin probe force microscope ,Work (thermodynamics) ,Silicon ,Computer simulation ,Chemistry ,Microscopy ,chemistry.chemical_element ,Charge (physics) ,Electric potential ,Atomic physics ,Electrostatics - Abstract
In this work, we explore the potential of Kelvin Force Microscopy (KFM) measurements to investigate the lateral charge transport in SiN layers with two different compositions (standard, std, and Silicon rich, Si–rich). The dynamics of the lateral spread of the trapped charge is analyzed with the help of three dimensional numerical device simulations.
- Published
- 2010
35. New insight on the charge trapping mechanisms of SiN-based memory by atomistic simulations and electrical modeling
- Author
-
Luca Selmi, David Esseni, E. Vianello, P. Blaise, D. Lafond, G. Molas, B. De Salvo, Pierpaolo Palestri, Francesco Driussi, Christophe Licitra, Fabien Boulanger, R. Kies, L. Perniola, Névine Rochat, J. P. Colonna, and G. Reimbold
- Subjects
Materials science ,Silicon ,chemistry ,Condensed matter physics ,Electronic engineering ,chemistry.chemical_element ,Energy level ,Charge (physics) ,Electrical measurements ,Trapping ,Electron ,Nitride ,Photonic crystal - Abstract
In this paper, we have studied the charge trapping mechanisms of nitride-based non-volatile memories. The impact of different silicon-nitride (SiN) compositions (standard, std, and Si-rich) on the device characteristics has been investigated through material characterizations, electrical measurements, atomistic and electrical simulations. We found that the different physical nature of the dominant defects in the two SiN compositions is at the origin of the different device electrical behaviors. In particular, we argue that the different electron occupation number of the defect states of the two SiN materials explains the observed faster erasing speed and charge loss rate of Si-rich SiN devices, with respect to std SiN devices, in spite of comparable programming behavior. A simple trap model is proposed to improve state of the art simulators of SiN based memories.
- Published
- 2009
- Full Text
- View/download PDF
36. A stacked SONOS technology, up to 4 levels and 6nm crystalline nanowires, with Gate-All-Around or independent gates (φ-Flash), suitable for full 3D integration
- Author
-
C. Vizioz, J.M. Hartmann, Vincent Delaye, Gerard Ghibaudo, K. Tachi, S. Pauliac, Etienne Nowak, O. Faynot, G. Molas, Arnaud Hubert, Virginie Loup, Christian Arvet, Thomas Ernst, L. Baud, V. Maffini-Alvaro, J. P. Colonna, C. Carabasse, and B. De Salvo
- Subjects
Materials science ,Silicon ,business.industry ,Electrical engineering ,Nanowire ,Process (computing) ,chemistry.chemical_element ,Silicon-germanium ,chemistry.chemical_compound ,Flash (photography) ,chemistry ,Nanoelectronics ,Logic gate ,Memory architecture ,Optoelectronics ,business ,Hardware_LOGICDESIGN - Abstract
We present the first experimental study of a Gate-All-Around (GAA) SONOS memory architecture with 4-level crystalline nanowire channels (down to 6nm-diameter). The technology is also extended to an independent double gate memory architecture, called φ-Flash. The experimental results with 6nm nanowires show high programming windows (up to 7.4V), making the structure compatible with multilevel operation. Excellent retention even after 104 cycles is achieved. The independent double gate option has otherwise been successfully integrated with 4-level stacked nanowires for multibit applications. The φ-Flash exhibits up to 1.8V ΔV Th between its two gates, demonstrating multibits operation. The basic process to fully disconnect the different nanowires in view of a full 3D integration of a memory array is discussed.
- Published
- 2009
- Full Text
- View/download PDF
37. Impact of a HTO/Al$_2$O$_3$ bi-layer blocking oxide in nitride-trap non-volatile memories
- Author
-
Xavier Garros, François Martin, Julien Buckley, L. Perniola, G. Pananakakis, J.-P. Colonna, G. Ghibaudo, B. De Salvo, G. Molas, Marc Bocquet, V. Vidal, Simon Deleonibus, M. Gely, H. Grampeix, Alain Toffoli, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), European Project: 317888,EC:FP7:ICT,FP7-ICT-2011-8,NEMESYS(2012), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Silicon ,Oxide ,chemistry.chemical_element ,SONOS ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,Nitride ,Blocking oxide ,Memory performance ,Blocking (statistics) ,01 natural sciences ,chemistry.chemical_compound ,charge trapping memories ,0103 physical sciences ,Materials Chemistry ,Hardware_INTEGRATEDCIRCUITS ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,010302 applied physics ,business.industry ,SANOS ,Electrical engineering ,SAONOS ,Bi layer ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry ,Optoelectronics ,0210 nano-technology ,business - Abstract
International audience; In this work, we present an experimental and theoretical study of nitride-trap devices with a HTO/Al$_2$O$_3$ bi-layer blocking oxide. Such (Silicon/Alumina/HTO/Nitride/Oxide/Silicon) SAONOS devices are compared with standard (Silicon/HTO/Nitride/Oxide/Silicon) SONOS and (Silicon/Alumina/Nitride/Oxide/Silicon) SANOS memories. The role of the different layers (blocking oxide and control gate) is deeply analyzed, focusing on their impact on memory performance and reliability. Then, a semi-analytical model is developed , which provides a good understanding of the physical mechanisms at the origin of program/erase characteristics.
- Published
- 2009
- Full Text
- View/download PDF
38. Performance and Reliability of Si-Nanocrystal Double Layer Memory Devices with High-k Control Dielectrics
- Author
-
G. Gay, G. Molas, M. Bocquet, E. Jalaguier, M. Gely, L. Masarotto, J. P. Colonna, H. Grampeix, F. Martin, P. Brianceau, V. Vidal, R. Kies, K. Yckache, B. De Salvo, G. Ghibaudo, T. Baron, C. Bongiorno, and S. Lombardo
- Subjects
Materials science ,Silicon ,business.industry ,Wide-bandgap semiconductor ,chemistry.chemical_element ,Dielectric ,Flash memory ,Non-volatile memory ,Nanoelectronics ,chemistry ,Nanocrystal ,Electronic engineering ,Optoelectronics ,business ,High-κ dielectric - Abstract
In this work, memory devices integrating a double layer of silicon nanocrystals as trapping medium and a high-k HfAlO-based control dielectric are presented. We will show that the use of two stacked Si-nc layers significantly improves the memory window compared to the single Si-nc layer devices, without introducing dispersions on the charging dynamics. Then, we also evaluate the potentiality of hybrid Si-nc double layer/SiN layer charge trapping media. These devices show a good memory window and good retention (>3 V after 10 years) with small activation energy (0.35 eV up to 200degC), thus being promising for future high-temperature memory applications.
- Published
- 2009
- Full Text
- View/download PDF
39. An in-depth investigation of physical mechanisms governing SANOS memories characteristics
- Author
-
G. Molas, A.M. Papon, E. Vianello, François Martin, J. P. Colonna, Luca Selmi, Marc Gely, G. Pananakakis, Marc Bocquet, H. Grampeix, L. Perniola, Gerard Ghibaudo, B. De Salvo, and P. Brianceau
- Subjects
Work (thermodynamics) ,Activation energy ,Al2O3 ,Arrhenius plots ,Charge traps memory ,Redistribution ,Retention ,SANOS ,Si3N4 ,Materials science ,Silicon ,business.industry ,Transistor ,chemistry.chemical_element ,Thermal emission ,Electron ,Nitride ,law.invention ,Volume (thermodynamics) ,chemistry ,law ,Electronic engineering ,Optoelectronics ,business ,Quantum tunnelling - Abstract
The goal of this work is to give a clear physical comprehension of the charge loss mechanisms of SANOS (Si/Al 2 O 3 /Si 3 N 4 /SiO 2 /Si) memories. Retention at room and high temperature is investigated on different samples through experiments and theoretical modeling. We argue that at room temperature, the charge loss essentially results from the tunneling of the electrons trapped at the nitride interface, and the retention life time increases with the nitride thickness. On the contrary, at high temperature, the trapped charges in the nitride volume quickly redistribute, thanks to the thermal emission process, and they migrate to the nitride interface. Indeed, this result suggests that thin-nitride thicknesses in SANOS devices allow keeping a fast program/erase speed without degrading the retention at high temperature.
- Published
- 2009
40. Reliability of charge trapping memories with high-k control dielectrics (Invited Paper)
- Author
-
C. Bongiorno, G. Molas, François Martin, Salvatore Lombardo, J. P. Colonna, H. Grampeix, L. Perniola, Gerard Ghibaudo, Elisa Vianello, Marc Gely, G. Pananakakis, P. Brianceau, Marc Bocquet, B. De Salvo, and L. Masarotto
- Subjects
Materials science ,Integrated circuit ,Dielectric ,Condensed Matter Physics ,Engineering physics ,Atomic and Molecular Physics, and Optics ,Flash memory ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Non-volatile memory ,Capacitor ,Nanocrystal ,law ,Electrical and Electronic Engineering ,Leakage (electronics) ,High-κ dielectric - Abstract
In this paper, we evaluate the potentiality of high-k materials (Al"2O"3, HfO"2 and HfAlO) for interpoly application in non-volatile memories. A study of the leakage currents of high-k based capacitors allowed to discuss the retention performances at room and high temperatures of high-k interpoly dielectrics. High-k materials are then integrated as control dielectrics in silicon nanocrystal and SONOS (Si/SiO"2/Si"3N"4/SiO"2/Si) memories. The role of the high-k layer on the memory performances is discussed; a particular attention being devoted to the retention characteristics. Analytical models, combined with experimental results obtained on various structures allowed to analyze the mechanisms involved during retention.
- Published
- 2009
- Full Text
- View/download PDF
41. 15nm-diameter 3D stacked nanowires with independent gates operation: ΦFET
- Author
-
Sébastien Barnola, Virginie Loup, S. Pauliac, S. Becu, Bernard Guillaumot, F. Aussenac, Arnaud Hubert, G. Garnier, Cecilia Dupre, C. Vizioz, J.M. Hartmann, Simon Deleonibus, Gerard Ghibaudo, L. Baud, J. P. Colonna, Maurice Rivoire, Christian Arvet, M. Jublot, F. Allain, V. Maffini-Alvaro, Thierry Chevolleau, P. Rivallin, Thomas Ernst, and O. Faynot
- Subjects
Materials science ,CMOS ,business.industry ,Logic gate ,MOSFET ,Electrical engineering ,Nanowire ,Optoelectronics ,business ,Metal gate ,Subthreshold slope ,NMOS logic ,PMOS logic - Abstract
For the first time, we report a 3D stacked sub-15 nm diameter NanoWire FinFET-like CMOS technology (3D-NWFET) with a new optional independent gate nanowire structure named PhiFET. Extremely high driving currents for 3D-NWFET (6.5 mA/mum for NMOS and 3.3 mA/mum for PMOS) are demonstrated thanks to the 3D configuration using a high-k/metal gate stack. Co-processed reference FinFETs with fin widths down to 6 nm are achieved with record aspect ratios of 23. We show experimentally that the 3D-NWFET, compared to a co-processed FinFET, relaxes by a factor of 2.5 the channel width requirement for a targeted DIBL and improves transport properties. PhiFET exhibits significant performance boosts compared to Independent-Gate FinFET (IG-FinFET): a 2-decade smaller IOFF current and a lower subthreshold slope (82 mV/dec. instead of 95 mV/dec.). This highlights the better scalability of 3D-NWFET and PhiFET compared to FinFET and IG-FinFET, respectively.
- Published
- 2008
- Full Text
- View/download PDF
42. On the role of a HTO/Al2O3 bi-layer blocking oxide in nitride-trap non-volatile memories
- Author
-
François Martin, J. P. Colonna, Marc Gely, G. Molas, J. Buckley, A. Toffoli, X. Garros, Simon Deleonibus, H. Grampeix, L. Perniola, Gerard Ghibaudo, G. Pananakakis, V. Vidal, Marc Bocquet, and B. De Salvo
- Subjects
Materials science ,Silicon ,business.industry ,Blocking (radio) ,Oxide ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Bi layer ,Nitride ,Memory performance ,Trap (computing) ,chemistry.chemical_compound ,Semiconductor storage ,chemistry ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,business ,Hardware_LOGICDESIGN - Abstract
In this work, we present an experimental and theoretical study of nitride trap devices with a HTO/Al2O3 bi-layer blocking oxide. Such SAONOS (Silicon/Alumina/HTO/Nitride/Oxide/Silicon) devices are compared with standard SONOS (Silicon/HTO/Nitride/Oxide/Silicon) and SANOS (Silicon/Alumina/Nitride/Oxide/Silicon) memories. The role of the different layers (blocking oxide and control gate) is deeply analyzed, focusing on their impact on memory performance and reliability. Then, a semi-analytical model is developed, which provides a good understanding of the physical mechanisms at the origin of program/erase characteristics.
- Published
- 2008
- Full Text
- View/download PDF
43. Integration of Silicon Nanocrystal Memory Arrays with HfAlOx Based Interpoly Dielectric
- Author
-
L. Masarotto, C. Bongiorno, B. De Salvo, H. Grampeix, Salvatore Lombardo, DS Golubovic, Simon Deleonibus, G. Molas, J. P. Colonna, J. Buckley, M.J. van Duuren, Marc Bocquet, F. Martin, and Marc Gely
- Subjects
Non-volatile memory ,Materials science ,Silicon ,chemistry ,business.industry ,Nanostructured materials ,Electronic engineering ,Optoelectronics ,chemistry.chemical_element ,Dielectric ,Silicon nanocrystals ,business ,Hafnium compounds - Abstract
The integration of silicon nanocrystal (Si-nc) nonvolatile memory (NVM) arrays with HfAlOx based interpoly dielectric (IPD) is presented for the first time. The data obtained on array vehicles programmed in Fowler-Nordheim operation regime are in excellent agreement with previously presented results on single cells, as well as theoretical data and allow the evaluation of the scalability of the Si-nc concept.
- Published
- 2008
- Full Text
- View/download PDF
44. Evaluation of HfAlO high-k materials for control dielectric applications in non-volatile memories
- Author
-
P. Brianceau, Marc Bocquet, C. Bongiorno, B. De Salvo, G. Molas, J. Buckley, V. Vidal, Salvatore Lombardo, G. Pananakakis, Simon Deleonibus, H. Grampeix, Marc Gely, Gerard Ghibaudo, François Martin, J. P. Colonna, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), and Domenget, Chahla
- Subjects
Materials science ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,Trapping ,01 natural sciences ,chemistry.chemical_compound ,0103 physical sciences ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Saturation (magnetic) ,ComputingMilieux_MISCELLANEOUS ,High-κ dielectric ,010302 applied physics ,business.industry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Thermal conduction ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Hafnium ,chemistry ,Aluminium oxide ,Optoelectronics ,Transient (oscillation) ,0210 nano-technology ,business - Abstract
In this paper, we evaluate the potentiality of hafnium aluminium oxide (HfAlO) high-k materials for control dielectric application in non-volatile memories. We analyze the electrical properties (conduction and parasitic trapping) of HfAlO single layers and SiO"2/HfAlO/SiO"2 triple layer stacks as a function of the HfAlO thickness and Hf:Al ratio. A particular attention is given to the electrical behaviour of the samples at high temperature, up to 250^oC. Experimental results obtained on silicon nanocrystal memories demonstrate the high advantage of HfAlO based control dielectrics on the memory performances for Fowler-Nordheim operation. Then an analytical model is presented, to simulate the program erase characteristics in the transient regime and at saturation, depending on the high-k control dielectric properties. A very good agreement is obtained between the experimental data and the simulation results.
- Published
- 2008
45. Localized SOI technology: an innovative Low Cost self-aligned process for Ultra Thin Si-film on thin BOX integration for Low Power applications
- Author
-
Thomas Ernst, L. Clement, Simon Deleonibus, J.M. Hartmann, F. Allain, A. Quiroga, E. Rouchouze, M.-P. Samson, L. Vandroux, D. Bensahel, Stephane Monfray, D. Chanemougame, J. P. Colonna, Nicolas Loubet, S. Borel, Bernard Guillaumot, Yves Campidelli, A. Margin, Didier Dutartre, Alain Toffoli, D. Renaud, Christian Arvet, Thomas Skotnicki, and G. Rabille
- Subjects
Materials science ,CMOS ,business.industry ,Low-power electronics ,Electrical engineering ,Silicon on insulator ,Optoelectronics ,Power semiconductor device ,business ,Metal gate ,NMOS logic ,Leakage (electronics) ,PMOS logic - Abstract
In this paper, we demonstrate the first successful integration of "localized SOI" devices integrated with HfO2/TiN gate stack on dedicated areas of bulk CMOS substrates. We propose a low cost innovative approach based on the SON technology, where the buried sacrificial SiGe layer can be removed directly from the edges of the active area in a self-aligned process, to form an entire fully-depleted structure isolated from the substrate. NMOS devices with gate length down to 32 nm are demonstrated on 6 nm Si-films, allowing the control of Ioff current down to 0.1 nA/mum for 440 muA/mum Ion @Vdd=1.1 V. We also demonstrated the impact of the TiN (as metal gate) thickness and compressive CESL (contact etch stop layer) boosters for ultra-thin film PMOS, allowing +15% and +22% additional improvement in performances, respectively. This localized-SOI approach is dedicated to low power devices where the leakage reduction is crucial. The possibility for power management is also demonstrated thank to the very thin buried dielectric and to the ground-plane implantations, allowing body factor as high as 80 mV/Von short devices.
- Published
- 2007
- Full Text
- View/download PDF
46. Thorough investigation of Si-nanocrystal memories with high-k interpoly dielectrics for sub-45nm node Flash NAND applications
- Author
-
T. Veyron, Marc Bocquet, B. De Salvo, L. Perniola, G. Auvert, P. Brianceau, G. Molas, Alain Toffoli, Christophe Licitra, C. Bongiorno, P. Scheiblin, A.M. Papon, L. Vermande, François Martin, Simon Deleonibus, J. P. Colonna, Julien Buckley, Salvatore Lombardo, H. Grampeix, V. Vidal, Névine Rochat, Marc Gely, L. Masarotto, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Istituto per la Microelettronica e Microsistemi [Catania] (IMM), National Research Council of Italy | Consiglio Nazionale delle Ricerche (CNR), and Consiglio Nazionale delle Ricerche (CNR)
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Transistor ,NAND gate ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Nanocrystal ,Nanoelectronics ,chemistry ,law ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Node (circuits) ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business ,High-κ dielectric - Abstract
International audience; In this paper we show for the 1 st time that Silicon nanocrystal (Si-ncs) memories with high-k (HfO2, Al2O3 and HfAlO) interpoly dielectrics (IPD) can offer excellent behaviour in the Fowler-Nordheim regime, with great relevance for future sub-45nm NAND memory generations. We significantly advance the state-of-the-art by showing a strict correlation between the different IPD properties (high-k dielectric constants, leakage currents) and the performance obtained on memory transistors down to 90nm gate lengths. In particular the results demonstrate that HfAlO IPDs combine the fast p/e and good 10 5 cycles endurance behaviour of HfO2 and the long retention of Al2O3 with no activation up to 125°C. Then, in order to boost the memory window, we also integrated a hybrid Si-nc/SiN layer floating gate, with a HfAlO based IPD. It is shown that a 6V Vth can be achieved, with good retention and cycling behaviours. Finally, a physical model of Si-nc memories is introduced which explains the impacts of IPD characteristics on memory performance. Introduction The large success of mobile equipment is leading to a dramatic increase of the market for NAND Flash, key devices for mass data storage [1]. Discrete trap memories, such as TANOS [2] and Si-nc memories [3,4] are one of the most suitable candidates to push integration density further beyond the 45nm node, because of their good scalability, robustness against SILC and low floating gate (FG) to floating gate coupling. In particular, Si-nc memories offer the potential of better data-retention at high temperature (the stored electrons being trapped in the Si-nc energy conduction band, rather than in temperature-activated deep traps of nitride), as well as mitigated lateral charge migration (the Si-ncs being isolated from one to the other by silicon dioxide rather than nitride). However, up to now, Si-nc memories have always shown poor FN program/erase characteristics, due to the small Si-nc/control gate coupling. In order to overcome this issue, engineering of the tunnel dielectric and/or IPD [5, 6] is necessary. In particular, in this paper, we present for the 1 st time to our knowledge an exhaustive experimental and theoretical study of Si-nc memories where the conventional HTO or oxide/nitride/oxide (ONO) interpoly dielectric is replaced by HfO2, Al2O3 or HfAlO based IPD. Excellent performance and clear correlations between the device electrical results and the IPD material properties are shown. We also suggest how to solve another issue of Si-nc devices, which makes them poorly interesting for multi-level NAND applications, i.e. the relatively small programming window. As a possible solution we propose the addition of a thin SiN layer over the Si-ncs, which allows for a significant increase of the memory window. Our approach is widely validated through in-depth analysis of Si-nc memories with HfO2, Al2O3 or HfAlO IPD, based on several material results, electrical data on memory transistors, physical modelling and TCAD three-dimensional simulations.
- Published
- 2007
- Full Text
- View/download PDF
47. Coupling of Advanced Optical and Chemical Characterization Techniques for Optimization of High-κ Dielectrics with Nanometer Range Thickness
- Author
-
C. Licitra, E. Martinez, N. Rochat, T. Veyron, H. Grampeix, M. Gely, J. P. Colonna, G. Molas, David G. Seiler, Alain C. Diebold, Robert McDonald, C. Michael Garner, Dan Herr, Rajinder P. Khosla, and Erik M. Secula
- Subjects
Materials science ,Silicon ,business.industry ,Gate dielectric ,chemistry.chemical_element ,Equivalent oxide thickness ,Dielectric ,Hafnium ,Characterization (materials science) ,chemistry ,CMOS ,Electronic engineering ,Optoelectronics ,business ,High-κ dielectric - Abstract
Complementary Metal Oxide Semiconductor (CMOS) down‐scaling leads to a dramatic reduction of the gate dielectric thickness for the isolation efficiency. The properties of hafnium aluminium oxide (HfAlO) are investigated as an alternative to conventional SiO2 as it exhibits the desirable characteristics of a high dielectric constant, a wide bandgap and good thermal stability with silicon. In this study, we show that complementary characterization techniques can be used to tune the deposition parameters to get optimized high‐κ dielectrics since the advanced capabilities of the characterization protocols and configurations allow analysis of few nanometer thick layers.
- Published
- 2007
- Full Text
- View/download PDF
48. Deep-UV lithography fabrication of slot waveguides and sandwiched waveguides for nonlinear applications
- Author
-
E. Jordana, P. Gautier, Y. Lebour, Pablo Sanchis, Nicola Daldosso, F. Cuesta-Soto, Paolo Pellegrino, J. P. Colonna, J. Blasco, J-M. Fedeli, P. Lyan, Lorenzo Pavesi, and B. Garrido
- Subjects
Materials science ,Fabrication ,Silicon ,business.industry ,Slot waveguides ,fabrication ,nonlinear properties ,chemistry.chemical_element ,NOR logic ,law.invention ,Nonlinear system ,Nanolithography ,chemistry ,law ,Optoelectronics ,Microelectronics ,Photolithography ,business ,Lithography - Abstract
Slot and sandwiched waveguides with silicon nanocrystals were fabricated by means of industrial microelectronic tools, including DUV lithography. Low loss of 4 dB/cm will pave the way to compact all-optical NOR logic gates.
- Published
- 2007
49. In-depth Investigation of HfAlO Layers as Interpoly Dielectrics of Future Flash Memories
- Author
-
Simon Deleonibus, François Martin, J. P. Colonna, V. Vidal, G. Molas, P. Brianceau, J. Buckley, Barbara De Salvo, Marc Gely, C. Bongiorno, H. Grampeix, Salvatore Lombardo, X. Garros, and Marc Bocquet
- Subjects
Conduction electron ,Flash (photography) ,Materials science ,business.industry ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,Hardware_PERFORMANCEANDRELIABILITY ,Dielectric ,Hafnium compounds ,business ,Flash memory - Abstract
In this work, the authors evaluate the potentialities of HfAlO materials as possible candidates for the interpoly dielectrics of future flash memory devices. HfAlO single-layer and oxide/HfAlO/oxide triple-layer stacks were processed and analyzed in terms of coupling and insulating capabilities. The electron conduction modes in these materials, at different temperatures, were also investigated. Finally, by means of analytical models matched with experimental data, the authors extrapolate the programming characteristics of future flash memory nodes integrating HfAlO as interpoly dielectrics
- Published
- 2006
- Full Text
- View/download PDF
50. In-depth Investigation of Hf-based High-k Dielectrics as Storage Layer of Charge-Trap NVMs
- Author
-
G. Pananakakis, C. Bongiorno, H. Grampeix, Gerard Ghibaudo, Charles Leroux, Marc Gely, V. Vidal, François Martin, J. P. Colonna, Marc Bocquet, Salvatore Lombardo, Névine Rochat, Alain Toffoli, D. Corso, G. Molas, J. Buckley, Simon Deleonibus, Eugénie Martinez, B. DeSalvo, and P. Brianceau
- Subjects
Materials science ,High interest ,Annealing (metallurgy) ,business.industry ,Electronic engineering ,Optoelectronics ,Crystal structure ,Dielectric ,Hafnium compounds ,business ,High-κ dielectric - Abstract
In this paper, different Hf-based oxides (HfO2, HfSiO under several annealing conditions, HfSiON, HfAlO with various compositions) are simultaneously considered as storage layers of charge-trap memories. Based on material characterization analyses, electrical data of memory cells, physical modeling of charge-trap devices, we show that a strict relationship exists between the crystal structure of the storage layer and the memory performances. The obtained results clearly demonstrate the high interest of HfO2 dielectric as possible storage layer of future NROM-like memory devices.
- Published
- 2006
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.