26 results on '"F. Deprat"'
Search Results
2. Optimized emitter-base interface cleaning for advanced Heterojunction Bipolar Transistors
- Author
-
E. Brezza, F. Deprat, C. de Buttet, A. Gauthier, M. Gregoire, D. Guiheux, V. Guyader, M. Juhel, I. Berbezier, E. Assaf, L. Favre, P. Chevalier, C. Gaquière, N. Defrance, STMicroelectronics [Crolles] (ST-CROLLES), Institut d’Électronique, de Microélectronique et de Nanotechnologie - UMR 8520 (IEMN), Centrale Lille-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF)-JUNIA (JUNIA), Université catholique de Lille (UCL)-Université catholique de Lille (UCL), Puissance - IEMN (PUISSANCE - IEMN), Université catholique de Lille (UCL)-Université catholique de Lille (UCL)-Centrale Lille-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF)-JUNIA (JUNIA), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire Cycles Géochimiques et ressources (LCG), Géosciences Marines (GM), Institut Français de Recherche pour l'Exploitation de la Mer (IFREMER)-Institut Français de Recherche pour l'Exploitation de la Mer (IFREMER), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), Hôpital Louis Pradel [CHU - HCL], Hospices Civils de Lyon (HCL), Declaration of competing interestThe authors declare that they have no known competing financial interests or personal relationships that could have appeared toinfluence the work reported in this paper., NO FUNDING FOUND, and Laboratoire commun STMicroelectronics-IEMN T1
- Subjects
[PHYS]Physics [physics] ,[SPI]Engineering Sciences [physics] ,Materials Chemistry ,Heterojunction Bipolar Transistor ,Thermal treatment ,Electrical and Electronic Engineering ,Interface ,SiconiTM etching ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Hydrofluoric acid etching - Abstract
International audience; A B S T R A C THeterojunction Bipolar Transistors needed for high-frequency applications require precise dopant control. Insitu doped epitaxies used during device fabrication rely on surface preparation to obtain an optimized dopingprofile. Defects due to imperfect cleaning relates to high emitter resistance and low-frequency noise.Base epitaxy is followed by the fabrication of thin L-shaped oxide spacers and in-situ arsenic-doped emitterepitaxy by Low Pressure Chemical Vapor Deposition. A cleaning step between spacers formation and emitterepitaxy is mandatory to remove residual contamination. Hydrofluoric acid (HF) wet cleaning, in-situ remoteplasma cleaning (SiconiTM) and thermal treatments have been tested. A minimum etching budget is sought forlimiting spacer consumption while adequately cleaning the interface.Time Of Flight-Secondary Ion Mass Spectroscopy (TOF-SIMS) and High-Resolution Transmission ElectronMicroscopy (HR-TEM) are used to characterize the interface and measure levels of arsenic, oxygen, fluorineand carbon. Emitter resistance and base-emitter breakdown voltage measurements are used to show the impacton electrical figures of merit. Siconi targeting 10 Å of thermal oxide removal followed by a thermal treatment(800 ◦C, 60 s) in the deposition chamber results the best process among the tested ones.
- Published
- 2023
- Full Text
- View/download PDF
3. Trench filling with phosphorus-doped monocrystalline and polycrystalline silicon
- Author
-
J. Lespiaux, F. Deprat, B. Rodrigues Goncalves, J. Souc, F. Leverd, M. Juhel, J.-G. Mattei, C. Giroud-Garampon, A. Roman, T. Magis, and J.M. Hartmann
- Subjects
Mechanics of Materials ,Mechanical Engineering ,General Materials Science ,Condensed Matter Physics - Published
- 2022
- Full Text
- View/download PDF
4. Dielectrics stability for intermediate BEOL in 3D sequential integration
- Author
-
Claire Fenouillet-Beranger, Maud Vinet, Virginie Beugin, Névine Rochat, Vincent Jousseaume, Perrine Batude, Daniel Benoit, Christophe Licitra, F. Deprat, N. Rambal, G. Imbert, Véronique Caubet-Hilloutou, and Chloé Guerin
- Subjects
Materials science ,Nanotechnology ,02 engineering and technology ,Dielectric ,01 natural sciences ,law.invention ,Barrier layer ,Stack (abstract data type) ,law ,Ellipsometry ,0103 physical sciences ,Thermal stability ,Electrical and Electronic Engineering ,Fourier transform infrared spectroscopy ,010302 applied physics ,Interconnection ,business.industry ,Transistor ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Optoelectronics ,0210 nano-technology ,business - Abstract
3D sequential integration, such as CoolCubeź, allows to stack vertically layer of devices. Levels of interconnection, also called intermediate Back-End-Of-Line, are needed between successive layers of transistors to avoid routing congestion. Thus, thermal stability of the dielectrics must be studied in order to fulfil the CoolCubeź requirement: at least to be stable up to 500°C during 2h. Consequently, the stability of several barrier layers and oxide based materials has been studied through optical characterizations (ellipsometry, Fourier Transform InfraRed spectroscopy and ellipsometric-porosimetry). SiCO (k=4.5), in replacement of standard SiCNH (k=5.6) material as barrier layer seems very promising. Regarding the inter-layer dielectric stability, the state-of-the-art porous SiOCH (k=2.5) stays suitable for a thermal budget of 500°C, 2h. Display Omitted
- Published
- 2017
- Full Text
- View/download PDF
5. Technological enhancers effect on Ni 0.9 Co 0.1 silicide stability for 3D sequential integration
- Author
-
Magali Gregoire, Fabrice Nemouchi, Patrice Gergaud, F. Deprat, Claire Fenouillet-Beranger, Perrine Batude, D. Barge, Maud Vinet, Philippe Rodriguez, N. Rambal, and Sylvain Joblot
- Subjects
010302 applied physics ,Materials science ,business.industry ,Transistor ,Stacking ,Nanotechnology ,Context (language use) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Salicide ,01 natural sciences ,PMOS logic ,law.invention ,chemistry.chemical_compound ,CMOS ,chemistry ,law ,0103 physical sciences ,Silicide ,Optoelectronics ,Wafer ,0210 nano-technology ,business - Abstract
3D sequential integration is a promising alternative to conventional scaling down approach: by stacking transistors level on top of each other, benefits on device density and performance are achieved. However, although the thermal processing of top transistors is currently restricted in order to avoid bottom CMOS degradation, it has been highlighted that Ni0.80Pt0.10 silicide source/drain (S&D) contact remains the most sensitive element to the thermal budget, especially on raised Si0.7Ge0.3:B S&D for pMOS transistors. In this context, a complete and systematic study on self-aligned silicide (SALICIDE) process has been proposed: alternative metallization as well as source and drain surface pre-treatments have been carried out. Indeed, a novel Ni-based silicide, the Ni0.9Co0.1 provides a better stability on unpatterned 300 mm wafers. This stability has been further improved when combined with epitaxial silicon capping layer (Si-Cap) and Si0.7Ge0.3:B S&D pre-amorphization implant (PAI) using Ge beam. For the first time, a successful Ni0.9Co0.1 SALICIDE implementation has been demonstrated on pMOS planar FDSOI transistor with both PAI and Si-Cap. Moreover, the presence of Si-Cap contributes to reduce silicide roughness and limits Ge partition that damage Si0.7Ge0.3:B S&D. (© 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)
- Published
- 2016
- Full Text
- View/download PDF
6. Phase formation sequence and cobalt behavior in the Ni0.9 Co0.1 system during the thin film solid-state formation
- Author
-
Patrice Gergaud, F. Deprat, Claire Fenouillet-Beranger, S. Favier, Fabrice Nemouchi, Ph. Rodriguez, Dominique Mangelinck, S. Zhiou, Ting Luo, C. Sese, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,01 natural sciences ,chemistry.chemical_compound ,Phase (matter) ,0103 physical sciences ,Silicide ,Thermal stability ,Electrical and Electronic Engineering ,Thin film ,Sheet resistance ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Chemical engineering ,0210 nano-technology ,Cobalt - Abstract
In this work, the solid-state reaction between a 7 nm thick Ni0.9Co0.1 film and a silicon substrate has been studied. By combining various characterization methods (e.g. sheet resistance measurement, X-ray reflectivity, X-ray diffraction), a comprehensive phase sequence of the NiCo silicide formation has been proposed. At low temperature, we observed the formation of metal-rich Ni2Si-like phases: δ-(NiCo)2Si and θ-(NiCo)2Si. Contrary to Ni0.9Pt0.1 based silicides, the δ-Ni2Si phase appears before the θ-Ni2Si one. Beyond 320 °C, the (NiCo)Si monosilicide formation is initiated and this latter is complete at 400 °C. The presence of Co strongly decreases the NiSi2 formation temperature. This early formation of disilicide allows avoiding film agglomeration and enhances the thermal stability of NiSi silicide. Complementary studies using wavelength dispersive X-ray fluorescence allowed studying the cobalt behavior and highlighted the formation of a Co composition gradient into the metal-rich silicide phases at relatively low temperature (220–260 °C).
- Published
- 2018
- Full Text
- View/download PDF
7. FDSOI bottom MOSFETs stability versus top transistor thermal budget featuring 3D monolithic integration
- Author
-
Perrine Batude, N. Rambal, Magali Gregoire, Maud Vinet, H. Dansas, Claire Fenouillet-Beranger, Fabrice Nemouchi, L. Pasini, D. Lafond, Laurent Brunet, Xavier Garros, Mikael Casse, M. Mellier, L. Tosti, F. Deprat, and Bernard Previtali
- Subjects
Materials science ,Fabrication ,business.industry ,Transistor ,Electrical engineering ,Silicon on insulator ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,law.invention ,PMOS logic ,chemistry.chemical_compound ,chemistry ,law ,Thermal ,Silicide ,Materials Chemistry ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,business ,NMOS logic - Abstract
To set up specification for 3D monolithic integration, for the first time, the thermal stability of state-of-the-art FDSOI (Fully Depleted SOI) transistors electrical performance is quantified. Post fabrication annealings are performed on FDSOI transistors to mimic the thermal budget associated to top layer processing. Degradation of the silicide for thermal treatments beyond 400 °C is identified as the main responsible for performance degradation for PMOS devices. For the NMOS transistors, arsenic (As) and phosphorus (P) dopants deactivation adds up to this effect. By optimizing both the n-type extension implantations and the bottom silicide process, thermal stability of FDSOI can be extended to allow relaxing upwards the thermal budget authorized for top transistors processing.
- Published
- 2015
- Full Text
- View/download PDF
8. Guidelines for intermediate back end of line (BEOL) for 3D sequential integration
- Author
-
Vincent Delaye, D. Nouguier, Zineb Saghi, N. Rambal, Claire Fenouillet-Beranger, Olivier Rozeau, V. Balan, Philippe Rodriguez, Francois Andrieu, Maud Vinet, S. Beaurepaire, A. Ayres de Sousa, C. Guerin, P. Besombes, Laurent Brunet, Vincent Jousseaume, H. Dansas, M.-P. Samson, F. Proud, Bernard Previtali, D. Ney, R. Famulok, F. Deprat, F. Ibars, Guillaume Rodriguez, Perrine Batude, Xavier Federspiel, and Fabrice Nemouchi
- Subjects
Very-large-scale integration ,Engineering ,Interconnection ,business.industry ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,0104 chemical sciences ,Back end of line ,Stack (abstract data type) ,Electronic engineering ,Thermal stability ,0210 nano-technology ,business - Abstract
For the first time the thermal stability of a new fluorine-free (F-free) W barrier coupled with W interconnections enabling 22% line 1 resistance improvement is evaluated in view of 3D VLSI integration. Integrated with ULK, no resistance nor lateral capacitance degradation is observed up to 550°C 5h while preserving good reliability. For additional thermal stability a TEOS/W stability is demonstrated up to 600°C 2h. Both types of interconnection stacks have been successfully integrated on devices with 28nm design rules and show similar performance for MOSFETs and Ring Oscillators (RO) as compared to the ULK/Cu stack. Finally, iBEOL guidelines are given at the end in view of 3D sequential integration.
- Published
- 2017
- Full Text
- View/download PDF
9. Key process steps for high performance and reliable 3D Sequential Integration
- Author
-
J. Micoud, C.-M. V. Lu, Maud Vinet, Charles Leroux, Xavier Federspiel, R. Gassilloud, Perrine Batude, Laurent Brunet, Vincent Delaye, G. Romano, L. Pasini, Xavier Garros, F. Deprat, Claude Tabone, D. Nouguier, N. Rambal, Bernard Previtali, P. Besombes, D. Ney, D. Barge, Francois Andrieu, A. Toffoli, M.-P. Samson, Thomas Skotnicki, A. Tsiara, and Claire Fenouillet-Beranger
- Subjects
010302 applied physics ,chemistry ,Computer science ,Logic gate ,0103 physical sciences ,Process integration ,Electronic engineering ,Gate stack ,chemistry.chemical_element ,010502 geochemistry & geophysics ,Tin ,01 natural sciences ,0105 earth and related environmental sciences - Abstract
This work provides breakthroughs in key technological modules for high performance and reliable 3D Sequential Integration with intermediate BEOL (iBEOL) in-between tiers. We demonstrate that (i) a high-quality solid phase epitaxy process is possible at 500°C, (ii) TiN native oxide removal prior to poly deposition leads to an improvement in gate stack reliability below 525°C and (iii) state-of-the-art SiOCH ULK in iBEOL is reliable up to 550°C 5h with W metal lines. A process integration is thus proposed to match the process windows of bottom layers (bottom FET and iBEOL) stability and top devices performance and reliability, opening perspectives for a wide range of applications and technologies using 3D Sequential Integration.
- Published
- 2017
- Full Text
- View/download PDF
10. Recent advances in low temperature process in view of 3D VLSI integration
- Author
-
N. Rambal, C. Fenouillet-Beranger, X. Garros, G. Cibrario, M.-P. Samson, B. Mathieu, Fabrice Nemouchi, Perrine Batude, C. Guerin, C. Leroux, Laurent Brunet, C-M. V. Lu, Sebastien Kerdiles, O. Billoint, Daniel Benoit, M. Brocard, J. Micout, R. Gassilloud, M. Vinet, Pascal Besson, Bernard Previtali, Christian Arvet, L. Pasini, Sebastien Thuries, V. Lapras, Francois Andrieu, Virginie Loup, F. Deprat, P. Acosta-Alba, V. Beugin, V. Mazzocchi, P. Besombes, and J.M. Hartmann
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Fabrication ,Annealing (metallurgy) ,Gate stack ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Dopant Activation ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Engineering physics ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Silicide ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,0210 nano-technology - Abstract
In this paper, the recent advances in low temperature process in view of 3D VLSI integration are reviewed. Thanks to the optimization of each low temperature process modules (dopant activation, gate stack, epitaxy, spacer deposition) and silicide stability improvement, the top layer thermal budget fabrication has been decreased in order to satisfy the requirements for 3D VLSI integration.
- Published
- 2016
- Full Text
- View/download PDF
11. Opportunities brought by sequential 3D CoolCube™ integration
- Author
-
Cristiano Santos, Daniel Gitlin, M. Brocard, G. Berhault, Jessy Micout, Sebastien Thuries, Perrine Batude, Laurent Brunet, Fabien Clermidy, C.-M. V. Lu, Paul Besombes, Francois Andrieu, O. Billoint, Maud Vinet, G. Cibrario, F. Deprat, Claire Fenouillet-Beranger, Vincent Mazzochi, O. Faynot, N. Rambal, and Bernard Previtali
- Subjects
010302 applied physics ,Very-large-scale integration ,Engineering ,business.industry ,Transistor ,Power saving ,Electrical engineering ,02 engineering and technology ,Transistor scaling ,01 natural sciences ,020202 computer hardware & architecture ,law.invention ,Reduction (complexity) ,CMOS ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,business - Abstract
3D VLSI with a CoolCube™ monolithic integration flow allows vertically stacking several layers of devices with a unique connecting via density above tens of million/mm2. This results in increased devices density and gains in power and performance thanks to wire-length reduction without the extra cost associated to transistor scaling. In addition to power saving, this true 3D integration opens perspectives in terms of heterogeneous integration. We will review the opportunities brought by CoolCube™ and will present the most advanced technological demonstration of 3D CMOS over CMOS CoolCube™ integration.
- Published
- 2016
- Full Text
- View/download PDF
12. Impact of intermediate BEOL technology on standard cell performances of 3D VLSI
- Author
-
M. Brocard, Fabien Clermidy, G. Berhault, Perrine Batude, G. Cibrario, Claire Fenouillet-Beranger, F. Deprat, Olivier Rozeau, Laurent Brunet, Francois Andrieu, Sebastien Thuries, O. Billoint, and Joris Lacord
- Subjects
Very-large-scale integration ,Permittivity ,Standard cell ,Engineering ,business.industry ,Transistor ,Process (computing) ,Line (electrical engineering) ,law.invention ,law ,Electronic engineering ,Sensitivity (control systems) ,business ,Electrical conductor - Abstract
While the 3D sequential process is still under development, the electrical influence of specific process for the bottom tier needs to be studied. As another MOS transistor layer is fabricated on top of the bottom one, contamination risk and thermal stability issues appear, thus requiring adaptation of conductors/dielectrics for intermediate Back-End Of Line (iBEOL) processing. As materials differ from usual copper/low-k, it is necessary to study how standard cells electrical characteristics will be affected. We modeled different descriptions of iBEOL in 14nm FDSOI process and simulated standard cells characteristics. The average power consumption is almost the same while large cells with high drive timing degradation can be up to 20% in the worst case. This sensitivity analysis allowed us to identify which parameters (permittivity, resistivity) have the greatest impact depending on standard cell type and provide technology and design guidelines. Our goal here was to limit the performance degradation to around 5% maximum for the bottom tier standard cells.
- Published
- 2016
- Full Text
- View/download PDF
13. First demonstration of a CMOS over CMOS 3D VLSI CoolCube™ integration on 300mm wafers
- Author
-
L. Pasini, Perrine Batude, V. Benevent, Maud Vinet, Thomas Signamarcheix, R. Kachtouli, Sébastien Barnola, A. Royer, C. Vizioz, F. Fournel, J.M. Hartmann, G. Romano, N. Allouti, Sebastien Kerdiles, Christophe Morales, A. Seignard, C. Agraffeil, Frederic Boeuf, F. Ponthenier, Vincent Delaye, F. Deprat, M. Jourdan, L. Benaissa, L. Baud, C. Euvrard-Colnat, O. Faynot, Bernard Previtali, C. Guedj, P. Besombes, C. Comboroure, Claire Fenouillet-Beranger, L. Hortemel, Laurent Brunet, Claude Tabone, Nicolas Posseme, Alain Toffoli, C.-M. V. Lu, Christian Arvet, and Pascal Besson
- Subjects
010302 applied physics ,Very-large-scale integration ,Engineering ,business.industry ,Electrical engineering ,Silicon on insulator ,02 engineering and technology ,01 natural sciences ,020202 computer hardware & architecture ,PMOS logic ,Front and back ends ,CMOS ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Wafer ,business ,Metal gate ,NMOS logic - Abstract
For the first time, a full 3D CMOS over CMOS CoolCube™ integration is demonstrated with a top level compatible with state of the art high performance FDSOI (Fully-Depleted Silicon On Insulator) process requirements such as High-k/metal gate or raised source and drain. Functional 3D inverters with either PMOS or NMOS on the top level are highlighted. Furthermore, Si layer transfer above a 28nm W Metal 1 level of an industrial short loop and the return in a front end environment is presented, confirming the industrial compatibility of CoolCube™ integration.
- Published
- 2016
- Full Text
- View/download PDF
14. Recent advances in 3D VLSI integration
- Author
-
M. Brocard, Perrine Batude, J. Micout, Sebastien Thuries, P. Besombes, V. Mazzocchi, Laurent Brunet, Francois Andrieu, O. Billoint, C. Fenouillet-Beranger, M.-P. Samson, G. Cibrario, M. Vinet, N. Rambal, F. Deprat, Bernard Previtali, and C-M. V. Lu
- Subjects
Very-large-scale integration ,CMOS ,Computer science ,Process requirements ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Silicon on insulator ,Wafer ,Hardware_PERFORMANCEANDRELIABILITY ,Metal gate ,Hardware_LOGICDESIGN - Abstract
This work highlights recent advances in 3D VLSI integration. A review of low temperature process modules development such as junctions, spacers and salicidation is presented. Finally, for the first time, a full CMOS over CMOS 3D VLSI integration on 300mm wafers is demonstrated with a top level compatible with state of the art high performance FDSOI (Fully-Depleted Silicon On Insulator) process requirements such as High-k/metal gate or raised source and drain.
- Published
- 2016
- Full Text
- View/download PDF
15. Contacts for Monolithic 3D architecture: Study of Ni$_{0.9}$Co$_{0.1}$ Silicide Formation
- Author
-
C. Sese, F. Deprat, Fabrice Nemouchi, Ph. Rodriguez, Claire Fenouillet-Beranger, Patrice Gergaud, S. Favier, STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), ANR-11-EQPX-0010,CRGF,Lignes synchrotron françaises à l'ESRF(2011), and ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
Diffraction ,Materials science ,Silicon ,NiCo ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,silicide ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,law ,Phase (matter) ,0103 physical sciences ,Silicide ,Thermal stability ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Sheet resistance ,010302 applied physics ,business.industry ,Transistor ,021001 nanoscience & nanotechnology ,Crystallography ,chemistry ,solid-state reaction ,Optoelectronics ,0210 nano-technology ,business - Abstract
Auteur correspondant: "philippe.rodriguez@cea.fr"; International audience; In this work, we studied the solid-state reaction between a Ni$_{0.9}$Co$_{0.1}$ film and a silicon substrate. NiCo silicide is considered to substitute Ni-and NiPt-based silicides in 3D integration in order to extend the bottom transistor thermal stability. Thanks to the combined analysis of sheet resistance data, X-ray reflectivity spectra modelling, X-ray diffraction and wavelength dispersive X-ray fluorescence analyses on Ni$_{0.9}$Co$_{0.1}$ /Si samples annealed at various temperatures, we were able to describe the phase sequence of the NiCo silicide formation.
- Published
- 2016
- Full Text
- View/download PDF
16. First integration of Ni0.9Co0.1 on pMOS transistors
- Author
-
M. Danielou, F. Deprat, Perrine Batude, Mikael Casse, N. Rambal, Bernard Previtali, Maud Vinet, M. Mellier, Fabrice Nemouchi, Michel Haond, Magali Gregoire, Claire Fenouillet-Beranger, Ph. Rodriguez, Vincent Delaye, and S. Favier
- Subjects
010302 applied physics ,Materials science ,Transistor ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Salicide ,01 natural sciences ,Engineering physics ,PMOS logic ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,0103 physical sciences ,Silicide ,Thermal ,MOSFET ,Electronic engineering ,Thermal stability ,0210 nano-technology - Abstract
In 3D sequential integration, the top transistor thermal budget must be reduced to preserve bottom MOSFET performance. In order to relax this thermal budget limitation, the thermal stability of the bottom level must be increased, especially for the silicide. In that purpose, Ni0.9Co0.1 alloy is proposed to replace the current Ni0.85Pt0.15 silicide. For the first time, this Ni0.9Co0.1 salicide has been integrated on pMOS FDSOI transistors with state of the art process leading to performance improvements compared to the standard Ni0.85Pt0.15 salicide. In this study, the cobalt incorporation into the salicide has been investigated to enhance its thermal stability.
- Published
- 2016
- Full Text
- View/download PDF
17. W and Copper Interconnection Stability for 3D VLSI CoolCube Integration
- Author
-
A. Roman, A. Seignard, Perrine Batude, C. Ribiere, O. Pollet, V. Benevent, E. Gourvest, M.-P. Samson, N. Rambal, Lucile Arnaud, L. Brunet, Hervé Denis, Y. Loquet, M. Vinet, V. Lapras, L. Emery, V. Lu, S. Maitrejean, Vincent Jousseaume, P. Besson, C.Fenouillet Beranger, G. Druais, C.Euvrard Colnat, Bernard Previtali, F. Deprat, R. Kachtouli, S. Kerdiles, Y.Le Friec, and F. Aussenac
- Subjects
Very-large-scale integration ,Interconnection ,Materials science ,chemistry ,Stability (learning theory) ,Electronic engineering ,chemistry.chemical_element ,Copper - Published
- 2015
- Full Text
- View/download PDF
18. (Invited) Annealing Techniques for Low Temperature Junctions Design in a 3D VLSI Integration
- Author
-
J.P. Barnes, V. Lapras, P. Acosta Alba, N. Rambal, L. Hortemel, F. Piegas Luce, P. Rivallin, Dominique Lafond, Perrine Batude, Pascal Besson, M.-P. Samson, Sebastien Kerdiles, M. Vinet, B. Mathieu, A. Royer, H. Dansas, R. Kachtouli, M. Casse, Shay Reboh, V. Lu, O. Rozeau, L. Pasini, C.Fenouillet Beranger, Bernard Previtali, Laurent Brunet, F. Aussenac, Benoit Sklenard, and F. Deprat
- Subjects
Very-large-scale integration ,Materials science ,Annealing (metallurgy) ,Engineering physics - Published
- 2015
- Full Text
- View/download PDF
19. Intermediate BEOL process influence on power and performance for 3DVLSI
- Author
-
Perrine Batude, Claire Fenouillet-Beranger, Fabien Clermidy, O. Billoint, Hossam Sarhan, Sebastien Thuries, Alexandre Ayres De Sousa, and F. Deprat
- Subjects
Very-large-scale integration ,Materials science ,business.industry ,Electrical engineering ,Three-dimensional integrated circuit ,chemistry.chemical_element ,Dielectric ,Tungsten ,Capacitance ,Copper ,Back end of line ,chemistry ,Electrical resistivity and conductivity ,Optoelectronics ,business - Abstract
3D VLSI technology based on CoolCube™ process offers ultra-high density of integration with up to 108 3D Vias (3D-V) per mm2 offering gate level 3D integration capability. For process stability and wide range of temperature compliancy, Intermediate Back End of Line (IBEOL) is targeted to be made with Tungsten lines in a SiO 2 (k=3.9) dielectric, increasing equivalent resistivity by 6 and capacitance by 1.6 compared to standard Back End of Line (BEOL) (copper lines in low k dielectrics). In this study we propose to study impact in Performance, Power and Area (PPA) using W/SiO 2 compared to Cu/low-k IBEOL. Results show area gain up to 60.9% and performance gain up to 21.7% for 3D cases comparing to 2D using 28 nm FDSOI technology. Using W/SiO 2 shows limited impact on performance with maximal 1.93% degradation comparing to Cu/low-k IBEOL.
- Published
- 2015
- Full Text
- View/download PDF
20. 3DVLSI with CoolCube process: An alternative path to scaling
- Author
-
Maud Vinet, Thomas Signamarcheix, V. Lu, Julie Widiez, Fabien Clermidy, A. Royer, J. Mazurier, M.-P. Samson, F. Piegas-Luce, Fabrice Nemouchi, L. Pasini, J.M. Hartmann, M. Casse, F. Deprat, Laurent Brunet, N. Rambal, Maurice Rivoire, Perceval Coudrain, M. Bidaud, Ogun Turkyilmaz, Hossam Sarhan, F. Ponthenier, G. Ghibaudo, C. Euvard-Colnat, Perrine Batude, Louis Hutin, Sebastien Kerdiles, Claude Tabone, Emmanuel Josse, L. Benaissa, E. Petitprez, Remi Beneyton, Claire Fenouillet-Beranger, L. Hortemel, G. Cibrario, Pascal Besson, A. Seignard, B. Mathieu, F. Fournel, C. Bout, C. Agraffeil, S. Sollier, Michel Haond, O. Billoint, P. Leduc, O. Rozeau, Benoit Sklenard, Sebastien Thuries, Bernard Previtali, O. Faynot, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), STMicroelectronics, ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), European Project: 619325,EC:FP7:ICT,FP7-ICT-2013-11,COMPOSE3(2013), Laboratoire d'Electronique et des Technologies de l'Information (CEA-LETI), Université Grenoble Alpes (UGA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP)-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA), and ANR-10-EQPX-0030/10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,business.industry ,Transistor ,Stacking ,Electrical engineering ,02 engineering and technology ,Direct bonding ,Dopant Activation ,01 natural sciences ,7. Clean energy ,020202 computer hardware & architecture ,law.invention ,law ,0103 physical sciences ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Process optimization ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business ,Scaling - Abstract
session 5: 3D Systems and Packaging; International audience; 3D VLSI with a CoolCube™ integration allows vertically stacking several layers of devices with a unique connecting via density above a million/mm 2 . This results in increased density with no extra cost associated to transistor scaling, while benefiting from gains in power and performance thanks to wire-length reduction. CoolCube™ technology leads to high performance top transistors with Thermal Budgets (TB) compatible with bottom MOSFET integrity. Key enablers are the dopant activation by Solid Phase Epitaxy (SPE) or nanosecond laser anneal, low temperature epitaxy, low k spacers and direct bonding. New data on the maximal TB bottom MOSFET can withstand (with high temperatures but short durations) offer new opportunities for top MOSFET process optimization.
- Published
- 2015
- Full Text
- View/download PDF
21. From 2D to Monolithic 3D
- Author
-
Perrine Batude, Claire Fenouillet-Beranger, F. Deprat, Ogun Turkyilmaz, Iyad Rayane, Olivier Rozeau, Maud Vinet, Hossam Sarhan, Sebastien Thuries, O. Billoint, G. Cibrario, and Fabien Clermidy
- Subjects
Standard cell ,Through-silicon via ,Computer science ,Process (engineering) ,law ,Distributed computing ,Time to market ,Hardware_INTEGRATEDCIRCUITS ,Multiple patterning ,Node (circuits) ,Place and route ,Integrated circuit ,law.invention - Abstract
Design of conventional 2D integrated circuits is becoming more and more challenging as we strive to keep on following Moore's law. Cost, thermal behavior, multiple patterning, increasing number of design rules, transistor characteristics, variability and back end properties coupled with a constant need for a higher integration of functions / peripherals are creating an increasingly complex equation to solve for designers. Moving to the next node and taking advantage of the technology are now far from being straightforward as time to market has never been so short for industry. In order to overcome or at least postpone the time when we'll have to face the "next node migration constraints", a possible solution could be staying at the same node and go 3D with possible benefits such as wire length reduction, power savings and increased operating frequency. Since more than ten years now, interconnect technologies like Through Silicon Via (TSV), High Density (HD)-TSV and Copper to Copper (Cu-Cu) have arisen to take advantage of this possible 3-dimensional physical implementation with proofs of concept [1] or more recently industrial products [2]. Main drawback of these technologies is that they are not shrinking at the same speed as transistors are, making them somehow power hungry; moreover the more they will shrink, the more precision will be needed for chip to chip alignment. To reach the highest possible standard cell and tier to tier interconnect densities required for cost-effective chips, 3D sequential integration process [3][4][5] (also known as Monolithic 3D or CoolCubeTM) is currently developed with main features being sequential fabrication of MOS layers and correlation of tier to tier interconnect size with process node allowing fine-grain 3D partitioning of designs. These particularities make it a durable opportunity to slow down next node design migration while still improving integration. To fully benefit from CoolCubeTM technology, a whole new way of designing circuits, from synthesis to place and route, will be required as some new challenges will arise. The point of this presentation is to show the possible use and limitations of the aforementioned technologies with a focus on Monolithic 3D and to give some insights about market expectations, challenges and available design techniques.
- Published
- 2015
- Full Text
- View/download PDF
22. New insights on bottom layer thermal stability and laser annealing promises for high performance 3D VLSI
- Author
-
S. Chhun, R. Kachtouli, B. Mathieu, F. Aussenac, X. Garros, M. Casse, M.-P. Samson, A. Laurent, J.P. Barnes, L. Pasini, C. Reita, E. Richard, Claire Fenouillet-Beranger, M. Vinet, E. Petitprez, N. Guillot, Pascal Besson, Bernard Previtali, Fabrice Nemouchi, Perrine Batude, Pierre Perreau, V. Benevent, I. Toque-Tresonne, D. Barge, Laurent Brunet, Karim Huet, Sebastien Kerdiles, G. Druais, F. Deprat, H. Dansas, D. Lafond, V. Lu, and N. Rambal
- Subjects
Very-large-scale integration ,Materials science ,business.industry ,Doping ,Transistor ,Laser ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,MOSFET ,Silicide ,Electronic engineering ,Optoelectronics ,Thermal stability ,Metal gate ,business - Abstract
For the first time the maximum thermal budget of in-situ doped source/drain State Of The Art (SOTA) FDSOI bottom MOSFET transistors is quantified to ensure transistors stability in Sequential 3D (CoolCube™) integration. We highlight no degradation of Ion/Ioff trade-off up to 550°C. Thanks to both metal gate work-function stability especially on short devices and silicide stability improvement, the top MOSFET temperature could be relaxed up to 500°C. Laser anneal is then considered as a promising candidate for junctions activation. Based on in-depth morphological and electrical characterizations it demonstrates very promising results for high performance Sequential 3D integration.
- Published
- 2014
- Full Text
- View/download PDF
23. Monolithic 3D integration: A powerful alternative to classical 2D scaling
- Author
-
O. Faynot, Ogun Turkyilmaz, F. Deprat, F. Ponthenier, M.-P. Samson, Hossam Sarhan, G. Cibrario, L. Pasini, V. Lu, Claude Tabone, J-E. Michallet, M. Vinet, Perrine Batude, N. Rambal, Fabien Clermidy, O. Billoint, JM Hartmannn, Claire Fenouillet-Beranger, O. Rozeau, Benoit Sklenard, Laurent Brunet, Sebastien Thuries, and Bernard Previtali
- Subjects
Engineering ,business.industry ,law ,Scale (chemistry) ,MOSFET ,Transistor ,Hardware_INTEGRATEDCIRCUITS ,Electrical engineering ,Electronic engineering ,business ,3d ic design ,Scaling ,law.invention - Abstract
Monolithic or sequential 3D Integration is a powerful technological enabler for actual 3D IC design as the stacked layers can be connected at the transistor scale. This paper reviews the opportunities brought by M3DI and highlights the applications benefiting from this small 3D contact pitch. It also presents the technological challenges of this concept and offers a general overview of the potential solutions to obtain a high performance low temperature top transistor while keeping bottom MOSFET integrity.
- Published
- 2014
- Full Text
- View/download PDF
24. FDSOI bottom MOSFETs stability versus top transistor thermal budget featuring 3D monolithic integration
- Author
-
Perrine Batude, Claire Fenouillet-Beranger, F. Deprat, Bernard Previtali, Xavier Garros, L. Pasini, N. Rambal, Maud Vinet, H. Dansas, Laurent Brunet, Fabrice Nemouchi, D. Lafond, Magali Gregoire, Mikael Casse, M. Mellier, and L. Tosti
- Subjects
Fabrication ,Materials science ,business.industry ,Transistor ,Silicon on insulator ,law.invention ,PMOS logic ,chemistry.chemical_compound ,chemistry ,law ,Thermal ,Silicide ,Electronic engineering ,Optoelectronics ,Thermal stability ,business ,NMOS logic - Abstract
To set up specification for 3D monolithic integration, for the first time, the thermal stability of state-of-the-art FDSOI (Fully Depleted SOI) transistors electrical performance is quantified. Post fabrication annealings are performed on FDSOI transistors to mimic the thermal budget associated to top layer processing. Degradation of the silicide for thermal treatments beyond 400 °C is identified as the main responsible for performance degradation for PMOS devices. For the NMOS transistors, arsenic (As) and phosphorus (P) dopants deactivation adds up to this effect. By optimizing both the n-type extension implantations and the bottom silicide process, thermal stability of FDSOI can be extended to allow relaxing upwards the thermal budget authorized for top transistors processing.
- Published
- 2014
- Full Text
- View/download PDF
25. 3D sequential integration opportunities and technology optimization
- Author
-
Claude Tabone, Ogun Turkyilmaz, Fabien Clermidy, Perrine Batude, Hossam Sarhan, J-E. Michallet, Claire Fenouillet-Beranger, M. Vinet, Laurent Brunet, G. Cibrario, Olivier Rozeau, Benoit Sklenard, Sebastien Thuries, O. Billoint, F. Deprat, and Bernard Previtali
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,law.invention ,Planar ,CMOS ,law ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Field-effect transistor ,business ,Scaling - Abstract
Compared with TSV-based 3D ICs, monolithic or sequential 3D ICs presnts “true” benefits of going to the vertical dimension as the stacked layers can be connected at the transistor scale. The high versatility of this technology is evidenced via several examples requiring small 3D contact pitch. Monolithic 3D is shown to enable substantial gain in area and performance as compared to planar technology without scaling the transistor technology node. This paper summarizes the technological challenges of this concept: it offers a general overview of the potential solutions to obtain a high performance low temperature top transistor while keeping bottom MOSFET integrity.
- Published
- 2014
- Full Text
- View/download PDF
26. 3D Sequential Integration: Application-driven technological achievements and guidelines
- Author
-
C. Comboroure, M. Zussy, L. Pasini, N. Rambal, J.-B. Pin, François Martin, V. Balan, Perrine Batude, C. Vizioz, J.M. Hartmann, Virginie Loup, N. Allouti, Sébastien Barnola, A. Duboust, Frédéric Mazen, O. Faynot, Louis Hutin, Mazzocchi, R. Berthelon, A. Ayres, Gilles Sicard, F. Deprat, Sebastien Hentz, J.-P. Colinge, Francois Andrieu, B. Mathieu, S. Beaurepaire, J. Micout, F. Ponthenier, E. Vianello, F. Fournel, O. Rozeau, E. Avelar Mercado, V. Ripoche, V. Beugin, Cristiano Santos, M.-P. Samson, Pascal Vivet, D. Larmagnac, S. Barraud, C. Euvrard-Colnat, Sebastien Kerdiles, M. Vinet, Benoit Sklenard, P. Acosta Alba, Sebastien Thuries, C. Fenouillet-Beranger, Philippe Rodriguez, X. Garros, Fabrice Nemouchi, R. Gassilloud, O. Billoint, Julien Arcamone, Pascal Besson, Didier Lattard, M. Casse, Laurent Brunet, C.-M. V. Lu, and Bernard Previtali
- Subjects
010302 applied physics ,Materials science ,Silicon ,Interface (computing) ,Stacking ,chemistry.chemical_element ,Ranging ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,7. Clean energy ,Engineering physics ,Annealing (glass) ,chemistry ,0103 physical sciences ,Thermal ,Hardware_INTEGRATEDCIRCUITS ,Field-effect transistor ,Thermal stability ,0210 nano-technology - Abstract
3D Sequential Integration (3DSI) with ultra-small 3D contact pitch (
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.