16 results on '"Carol Boye"'
Search Results
2. Yield Learning Methodologies and Failure Isolation in Ring Oscillator Circuit for CMOS Technology Research
- Author
-
A. Gaul, Andrew M. Greene, T. Levin, Dallas Lea, Victor Chan, Samuel S. Choi, Carol Boye, S. Mattam, J. S. Strane, Sean Teehan, Dechao Guo, Gauri Karve, Marc A. Bergendahl, Brad Austin, and Kangguo Cheng
- Subjects
0209 industrial biotechnology ,Yield (engineering) ,Computer science ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Ring oscillator ,Condensed Matter Physics ,Fault (power engineering) ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,020901 industrial engineering & automation ,CMOS ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Field-effect transistor ,Isolation (database systems) ,Electrical and Electronic Engineering ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
We detail the use of ring oscillators (ROs) for yield learning during the research phase of a CMOS technology generation. Failing circuits are located and classified based on electrical analysis of ROs and FETs (Field Effect Transistor) wired out from RO environments. Based on electrical data and binning methods, we improve detection and classification fault methodologies and form a yield detractor pareto. Inline defect monitoring can help to estimate RO yield and is essential in CMOS technology research.
- Published
- 2019
3. CMP Defect Reduction and Mitigation: Practices and Future Trends
- Author
-
Fee Li Lie, Wei-Tsu Tseng, Claire Silvestre, Chen Jim C, Donald F. Canaperi, and Carol Boye
- Subjects
010302 applied physics ,Materials science ,Consumables ,business.industry ,02 engineering and technology ,Blanket ,021001 nanoscience & nanotechnology ,01 natural sciences ,Conditioning process ,Surface cleaning ,Reduction (complexity) ,0103 physical sciences ,Wafer ,Defect size ,0210 nano-technology ,Process engineering ,business - Abstract
An exponential correlation is found to exist between the number of added defects on polished blanket wafers and the inverse of defect size for particulate CMP defects. Smaller surface defects are much more abundant and more difficult to remove. Pad surface pore geometry can influence the transport of debris during polish, and hence modulate the generation of defects such as polish residue (PR), foreign materials (FM), and scratches. The conditioner and conditioning process also plays a role. Besides the selection and optimization of cleaning chemical and post cleaning process itself, overall CMP defect reduction and mitigation must take into account the events and consumables in the polish modules. The drive towards finer and 3D device geometry presents further challenges in defect detection and reduction.
- Published
- 2021
4. A Systematic Study on BEOL Defectivity Control for Future AI Application
- Author
-
Sanjay Mehta, Scott DeVries, Carol Boye, Wei-Tsu Tseng, Chen Jim C, Mary-Claire Silvestre, Thamarai S. Devarajan, Fee Li Lie, and Massud A. Aminpur
- Subjects
0209 industrial biotechnology ,020901 industrial engineering & automation ,Computer science ,02 engineering and technology ,GeneralLiterature_MISCELLANEOUS ,Reliability engineering - Abstract
In this paper, a case study on control of BEOL defectivity in a systematic way for the future AI application is presented. A few novel methodologies were introduced to identify the source of defectivity in various BEOL sectors, such as, patterning, barrier deposition, plating, and CMP. We successfully reduced the defectivity to the level required to yield target AI devices.
- Published
- 2020
5. Transmission Electron Microscopy Sample Preparation By Design Based Recipe Writing in a DBFIB Part 2
- Author
-
Marc A. Bergendahl, M. Biedrzycki, J. Hager, K. Nguyen, M. Persala, J. Arjavac, Brad Austin, Carol Boye, S. Shaar, Mary Breton, Michael Rizzolo, John G. Gaudiello, Sean Teehan, Shravan Matham, B. Cilingiroglu, and James J. Demarest
- Subjects
Materials science ,business.industry ,Transmission electron microscopy ,Recipe ,Optoelectronics ,Sample preparation ,business - Abstract
Demarest et al. concluded in their previous report that a ten times improvement in placement accuracy was required to enable automated transmission electron microscopy (TEM) sample preparation, and wafer alignment by GDS coordinates demonstrated a factor of two improvement in comparison to optical or scanning electron microscope based processes. This paper provides an additional update on this project. The study is about a GDS based process developed to simplify the complicated workflow for examining discrete electrical failures. The results of this study indicated that the recipe prototype developed on a test structure had a unique feature that consisted of an approximately 45nm by 200nm Cu line segment. Executing the prototype recipe on a wafer at the same process point fabricated 6 months after the original wafer yielded four identical successful samples of about 30nm sample thickness. This technique can thus be extended to large 2D arrays of small structures.
- Published
- 2019
6. Failure Isolation in Ring Oscillator Circuit and Defect Detection in CMOS Technology Research
- Author
-
Marc A. Bergendahl, Carol Boye, Jay W. Strane, Gauri Karve, Dechao Guo, T. Levin, S. Mattam, Dallas Lea, Kangguo Cheng, S. Choi, A. Gaul, Sean Teehan, Andrew M. Greene, Brad Austin, and Victor S. Chan
- Subjects
0209 industrial biotechnology ,020901 industrial engineering & automation ,Yield (engineering) ,CMOS ,Computer science ,Phase (waves) ,Electronic engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Ring oscillator ,Isolation (database systems) ,Fault (power engineering) - Abstract
Ring oscillators (ROs) are used for yield learning during the research phase of a CMOS technology generation. Based on electrical data and binning methods, we improve detection and classification fault methodologies and form a yield detractor pareto. Inline defect monitoring can help to estimate RO yield and is essential in CMOS technology research.
- Published
- 2019
7. Use of 22 nm Litho SEM Non-visual Defect Data as a Process Quality Indicator
- Author
-
Rajesh Ghaskadvi, Seth L. Knupp, and Carol Boye
- Subjects
Process quality ,Materials science ,business.industry ,Optoelectronics ,Nanotechnology ,Electrical and Electronic Engineering ,Condensed Matter Physics ,business ,Lithography ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials - Abstract
This paper proposes that the non-visual defect rate for Litho layers is an indicator of the quality of the process up to and including Litho. “Non-visual” (NV) defects are those detected by optical defect inspection systems but not re-detected by the SEM review tool. The defects are occurring either on or below the surfaces of the films deposited immediately prior to lithography, or buried within the actual lithographic films. Rather than ignore the non-visual data obtained during defect inspection post lithography, the NV rate can be used as a quality indicator to trigger immediate action for root cause determination. This paper presents a new strategy for responding to Litho SEM NV defects based on a detailed study of the origin of these defects.
- Published
- 2013
8. Process Window Centering for 22 nm Lithography
- Author
-
Sumanth Kini, Andrew Stamper, Charu Tejwani, Sang Y. Chong, Carol Boye, Ralf Buengener, Roland Hahn, Bryan N. Rhoads, Sean D. Burns, Kourosh Nafisi, Colin J. Brodsky, and S. Fan
- Subjects
Engineering drawing ,Engineering ,business.industry ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Finite element method ,Die (integrated circuit) ,Electronic, Optical and Magnetic Materials ,law.invention ,Resist ,law ,Electronic engineering ,Process window ,Electrical and Electronic Engineering ,Photolithography ,Focus (optics) ,business ,Lithography ,Critical dimension - Abstract
PWC (Process Window Centering) is an efficient methodology to validate or adjust and center the overall process window for a particular lithography layer by detecting systematic and random defects. The PWC methodology incorporates a defect inspection and analysis of the entire die that can be automated to provide timely results. This makes it a good compromise between FEM (Focus Exposure Matrix), where centering is based only on CD (critical dimension) measurements of a few specific structures and PWQ (Process Window Qualification) which provides very detailed defect inspection and analysis, but is more time consuming for lithography centering. This paper describes the application of the PWC methodology for 22 nm lithography centering in IBM's Albany and East Fishkill development facilities using KLA-Tencor's 28xx brightfield defect inspection system.
- Published
- 2011
9. A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI
- Author
-
Jeffrey C. Shearer, Philip J. Oldiges, Soon-Cheon Seo, Terry A. Spooner, Matthew E. Colburn, Ravikumar Ramachandran, V. Sardesai, Kang-ill Seo, Dinesh Gupta, Richard G. Southwick, Xiao Sun, S. Stieg, H. Cai, S. Kanakasabaphthy, Vamsi Paruchuri, R. Sampson, Lars W. Liebmann, Walter Kleemeier, Kisik Choi, Deok-Hyung Lee, Christopher Prindle, R. Divakaruni, H. Shang, Abhijeet Paul, T. Gow, D. McHerron, Dechao Guo, Fee Li Lie, J. Nam, Neeraj Tripathi, Ruilong Xie, R. Kambhampati, Muthumanickam Sankarapandian, Balasubramanian S. Pranatharthi Haran, Carol Boye, James H. Stathis, B. Hamieh, John Iacoponi, Christopher J. Waskiewicz, Geum-Jong Bae, Derrick Liu, Sanjay Mehta, Reinaldo A. Vega, Terence B. Hook, Min Gyu Sung, Jay W. Strane, D.I. Bae, Robin Chao, Hoon Kim, F. Nelson, Theodorus E. Standaert, L. Jang, Erin Mclellan, M. Celik, S. Nam, Tae-Chan Kim, C.-C. Yeh, Sean D. Burns, P. Montanini, Charan V. V. S. Surisetty, Raghavasimhan Sreenivasan, Ju-Hwan Jung, B. Lherron, S.-B. Ko, E. Alptekin, Huiming Bu, Injo Ok, Jin Cho, Mukesh Khare, J. G. Hong, Gen Tsutsui, Andreas Scholze, Bomsoo Kim, D. Chanemougame, M. Mottura, M. Weybright, H. Mallela, K. Kim, Hemanth Jagannathan, Chanro Park, J. Jenq, Donald F. Canaperi, Young-Kwan Park, R. Jung, and Kangguo Cheng
- Subjects
Materials science ,Dopant ,business.industry ,Limit (music) ,Gate stack ,Electrical engineering ,Silicon on insulator ,Optoelectronics ,Static random-access memory ,business ,Lithography ,Communication channel ,Power (physics) - Abstract
A 10nm logic platform technology is presented for low power and high performance application with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrate. A 0.053um 2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limit. Multi-workfunction (WF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by channel dopants.
- Published
- 2014
10. 56 nm pitch copper dual-damascene interconnects with triple pitch split metal and double pitch split via
- Author
-
Scott Halle, Marcy Beard, Chiew-seng Koay, Oscar van der Straten, T. Levin, Lars Liemann, Juntao Li, D. Horak, Bryan Morris, Terry A. Spooner, S. Choi, Carol Boye, Donald F. Canaperi, Sylvie Mignot, Muthumanickam Sankarapandian, Elbert E. Huang, Chiahsun Tseng, James Hsueh-Chung Chen, Erin Mclellan, James J. Kelly, S. Fan, James J. Demarest, Nicole Saulnier, Hosadurga Shobha, Matthew E. Colburn, Balasubramanian S. Haran, Yongan Xu, Yunpeng Yin, Larry Clevenger, Christopher J. Waskiewicz, Mignot Yann, and John C. Arnold
- Subjects
Interconnection ,Materials science ,business.industry ,Copper interconnect ,chemistry.chemical_element ,Copper ,Line (electrical engineering) ,chemistry ,Logic gate ,Electronic engineering ,Optoelectronics ,business ,Layer (electronics) ,Lithography - Abstract
This work demonstrates the building of a 56 nm pitch copper dual damascene interconnects which connects to the local interconnect level. This M1/V0 dual-damascene used a triple pitch split bi-directional M1 and a double pitch split contact (V0) scheme where the local interconnects are with double pitch split in each direction, respectively. This scheme will provide great design flexibility for the advanced logic circuits. The patterning scheme is multiple negative tone development lithography-etch. A memorization layer is utilized in the triple patterned M1 and the double patterned V0 levels, respectively. After transferring the two via levels into the metal memorization layer, a self-aligned-via (SAV) RIE scheme was used to create vias confined by line trenches such that via to line spacing is maximized for better reliability. Seven litho/etch steps (LIP1/LIP2/V0C1/V0C2/M1P1/M1P2/M1P3) were employed to present this revolutionary interconnects.
- Published
- 2012
11. E-beam inspection for combination use of defect detection and CD measurement
- Author
-
Fei Wang, Shuen Cheng Lei, Shih-tsung Chen, Derek Tomlison, Jack Jau, Carol Boye, and Theodorus Standeart
- Subjects
Materials science ,business.industry ,education ,fungi ,Silicon on insulator ,Epitaxy ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Etching (microfabrication) ,Logic gate ,MOSFET ,Electronic engineering ,Electron beam processing ,Optoelectronics ,business ,Electron-beam lithography - Abstract
This paper proposes a combination use of e-beam inspection (EBI) for defect detection and CD Uniformity (CDU) measurement. The experiments are based on 14nm FinFET device manufactured on SOI substrate. A 5nm pixel size is utilized to perform hot spot inspection on SRAM pattern and N/P FET pattern after gate etching, spacer formation, and SiGe epitaxy process respectively. CDU measurement results match well with process split in gate etching and spacer formation process. Protrusion defect is detected after SiGe epitaxy process, and a dependency between protrusion defects with the thickness of spacer is found.
- Published
- 2012
12. Use of 22 nm Litho SEM non-visual defect data as a process quality indicator
- Author
-
Carol Boye, Roland Hahn, Joe Connors, Rajesh Ghaskadvi, Christopher J. Penny, Cezary Janicki, and Donna Boyles
- Subjects
Process quality ,Materials science ,business.industry ,Optoelectronics ,Nanotechnology ,business ,Lithography - Abstract
This paper proposes that the non-visual defect rate for Litho layers is an indicator of the quality of the process up to and including Litho. “Non-visual” (NV) defects are those detected by optical defect inspection systems but not re-detected by the SEM review tool. The defects are occurring either on or below the surfaces of the films deposited immediately prior to lithography, or buried within the actual lithographic films. Rather than ignore the non-visual data obtained during defect inspection post lithography, the NV rate can be used as a quality indicator to trigger immediate action for root cause determination. This paper presents a new strategy for responding to Litho SEM NV defects based on a detailed study of the origin of these defects.
- Published
- 2012
13. High-throughput critical dimensions uniformity (CDU) measurement of two-dimensional (2D) structures using scanning electron microscope (SEM) systems
- Author
-
Wei Fang, Carol Boye, Jack Jau, Hong Xiao, John G. Gaudiello, Theodorus E. Standaert, Long E. Ma, Fei Wang, Yan Zhao, Jennifer Fullam, Xu Zhang, and Derek Tomlinson
- Subjects
Optics ,Materials science ,business.industry ,Scanning electron microscope ,System of measurement ,Large array ,Cathode ray ,Repeatability ,business ,Critical dimension - Abstract
In this paper, we tested a novel methodology of measuring critical dimension (CD) uniformity, or CDU, with electron beam (e-beam) hotspot inspection and measurement systems developed by Hermes Microvision, Inc. (HMI). The systems were used to take images of two-dimensional (2D) array patterns and measure CDU values in a custom designated fashion. Because this methodology combined imaging of scanning micro scope (SEM) and CD value averaging over a large array pattern of optical CD, or OCD, it can measure CDU of 2D arrays with high accuracy, high repeatability and high throughput.
- Published
- 2011
14. Assessing EUV mask defectivity
- Author
-
Carol Boye, Obert Wood, Christian Holfeld, Bruno La Fontaine, Paul Ackmann, Sterling G. Watson, Uzodinma Okoroanyanwu, Karsten Bubke, Jan Hendrik Peters, Sudhar Raghunathan, Bo Mu, Isaac Lee, Phillip Lim, Anna Tchikoulaeva, and Sumanth Kini
- Subjects
Fabrication ,Materials science ,business.industry ,Extreme ultraviolet lithography ,law.invention ,Optics ,Stack (abstract data type) ,law ,Extreme ultraviolet ,Reticle ,Wafer testing ,Wafer ,Photolithography ,business - Abstract
This paper assesses the readiness of EUV masks for pilot line production. The printability of well characterized reticle defects, with particular emphasis on those reticle defects that cause electrical errors on wafer test chips, is investigated. The reticles are equipped with test marks that are inspected in a die-to-die mode (using DUV inspection tool) and reviewed (using a SEM tool), and which also comprise electrically testable patterns. The reticles have three modules comprising features with 32 nm ground rules in 104 nm pitch, 22 nm ground rules with 80 nm pitch, and 16 nm ground rules with 56 nm pitch (on the wafer scale). In order to determine whether specific defects originate from the substrate, the multilayer film, the absorber stack, or from the patterning process, the reticles were inspected after each fabrication step. Following fabrication, the reticles were used to print wafers on a 0.25 NA full-field ASML EUV exposure tool. The printed wafers were inspected with state of the art bright-field and Deep UV inspection tools. It is observed that the printability of EUV mask defects down to a pitch of 56 nm shows a trend of increased printability as the pitch of the printed pattern gets smaller - a well established trend at larger pitches of 80 nm and 104 nm, respectively. The sensitivity of state-of-the-art reticle inspection tools is greatly improved over that of the previous generation of tools. There appears to be no apparent decline in the sensitivity of these state-of-the-art reticle inspection tools for higher density (smaller) patterns on the mask, even down to 56nm pitch (1x). Preliminary results indicate that a blank defect density of the order of 0.25 defects/cm 2 can support very early learning on EUV pilot line production at the 16nm node.
- Published
- 2010
15. Optimizing a 32nm development fab's HOL defect pareto using iDO and eADC
- Author
-
Carol Boye, Nithin Yathapu, and Sumanth Kini
- Subjects
Engineering ,Engineering drawing ,Development (topology) ,business.industry ,Key (cryptography) ,Pareto principle ,Classification tree analysis ,HOL ,Limiting ,business ,Multi-objective optimization ,Reliability engineering - Abstract
This paper presents a methodology for optimizing a fab defect pareto for 32nm Health of Line (HOL). HOL involves running a selected product as a means of generating defect baseline paretos and electrical test for key process sectors. Optimizing HOL pareto consists of increasing the capture of key yield limiting defects and minimizing the capture of non yield limiting defects. This was achieved by implementing smart binning (iDO) on the BF inspection system in conjunction with auto defect classification (eADC) on the SEM review tool.
- Published
- 2009
16. EUVL reticle defectivity evaluation
- Author
-
Anna Tchikoulaeva, Christian Holfeld, Uzodinma Okoroanyanwu, M. Peikert, Sumanth Kini, Carol Boye, Chiew-seng Koay, B. La Fontaine, Hiroyuki Mizuno, Obert R. Wood, and Karen Petrillo
- Subjects
Materials science ,Optics ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,education ,Microscopy ,Reticle ,Optoelectronics ,Wafer ,business - Abstract
Reticle defectivity was evaluated using two known approaches: direct reticle inspection and the inspection of the wafer prints. The primary test vehicle was a reticle with a design consisting of 45 nm and 60 nm comb and serpentine structures in different orientations. The reticle was inspected in reflected light on the KLA 587 in a die-todie and a die-to-database mode. Wafers were exposed on a 0.25 NA full-field EUV exposure tool and inspected on a KLA 2800. Both methods delivered two populations of defects which were correlated to identify coinciding detections and mismatches. In addition, reticle defects were reviewed using scanning electron microscopy (SEM) to assess the printability. Furthermore, some images of the defects found on the 45 nm reticle used in the previous study [1] were collected using actinic (EUV) microscopy. The results of the observed mask defects are presented and discussed together with a defect classification.
- Published
- 2009
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.