152 results on '"Bekaert, Joost"'
Search Results
2. Mask innovations on the eve of High NA EUV lithography
3. High-NA EUV mask pattern characterization using advanced mask CD-SEM metrology
4. Aerial imaging (aims) based computational lithography model calibration and mask metrology for high-NA EUV
5. Pushing the boundaries of random logic metal patterning with low-n EUV single exposure
6. Overview of stitching for high NA: imaging and overlay experimental and simulation results
7. EUV pellicle scanner integration for N2 nodes and beyond
8. CNT pellicles: recent optimization and exposure results
9. Spatial frequency breakdown of CD variation
10. Stitching for High NA: new insights and path forward
11. Efficient mask characterization through automated contour and corner rounding extraction
12. Tone reversal patterning for advanced technology nodes
13. Overview of stitching for high NA: imaging and overlay experimental and simulation results
14. CNT pellicles: recent optimization and exposure results
15. EUV pellicle scanner integration for N2 nodes and beyond
16. Carbon nanotube pellicles: imaging results of the first full-field extreme ultraviolet exposures
17. Spatial frequency breakdown of CD variation
18. Today’s scorecard for tomorrow’s photoresist: progress and outlook towards High-NA EUV lithography
19. CNT pellicles: Imaging results of the first full-field EUV exposures
20. Metal layer single EUV expose at pitch 28: how bright field and NTD resist advantages align
21. Tomorrow’s pitches on today’s 0.33 NA scanner: pupil and imaging conditions to print P24 L/S and P28 contact holes
22. Stitching enablement for anamorphic imaging: a ~1μm exclusion band and its implications
23. Holistic litho, films and etch for EUV DRAM storage node pad (Conference Presentation)
24. Impact of EUV resist thickness on local critical dimension uniformities for <30 nm CD via patterning
25. Efficient mask characterization through automated contour and corner rounding extraction.
26. Predicting stochastic defects across the process window
27. Improving exposure latitudes and aligning best focus through pitch by curing M3D phase effects with controlled aberrations
28. Impact of local variability on defect-aware process windows
29. Flux pinning by regular arrays of ferromagnetic dots
30. Optimization and stability of CD variability in pitch 40 nm contact holes on NXE:3300
31. EUV vote-taking lithography for mitigation of printing mask defects, CDU improvement, and stochastic failure reduction
32. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers
33. Single Exposure EUV of 32nm pitch logic structures: Patterning performance on BF and DF masks
34. EUV vote-taking lithography: crazy... or not?
35. Improving exposure latitudes and aligning best focus through pitch by curing M3D phase effects with controlled aberrations.
36. Impact of Local Variability on Defect-Aware Process Windows.
37. CNT pellicles: imaging results of the first full-field EUV exposures
38. Metal layer single EUV expose at pitch 28 nm: how bright field and NTD resist advantages align
39. Design Strategy for Integrating DSA Via Patterning in sub-7 nm Interconnects
40. Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly
41. Design and pitch scaling for affordable node transition and EUV insertion scenario
42. Compact 2D OPC modeling of a metal oxide EUV resist for a 7nm node BEOL layer
43. SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform
44. Co-optimization of lithographic and patterning processes for improved EPE performance
45. OPTIMIZATION AND STABILITY OF CD VARIABILITY IN PITCH 40 NM CONTACT HOLES ON NXE:3300.
46. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers.
47. Plasma etch challenges of Directed self-assembly (DSA) for fin and contact holes patterning in 7nm (N7) technology node
48. Influence of template fill in graphoepitaxy directed self-assembly
49. Influence of template fill in graphoepitaxy DSA
50. EUV patterned templates with grapho-epitaxy DSA at the N5/N7 logic nodes
Catalog
Books, media, physical & digital resources
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.