Search

Your search keyword '"*BUFFER storage (Computer science)"' showing total 1,745 results

Search Constraints

Start Over You searched for: Descriptor "*BUFFER storage (Computer science)" Remove constraint Descriptor: "*BUFFER storage (Computer science)"
1,745 results on '"*BUFFER storage (Computer science)"'

Search Results

1. Buffer-Bloated Router? How to Prevent It and Improve Performance.

2. Reducing internet latency for thin-stream applications over reliable transport with active queue management

3. A stochastic programming model for resequencing buffer content optimisation in mixed-model assembly lines.

4. Using Mixture of Experts to accelerate dataset distillation.

5. Integrated Random Early Detection for Congestion Control at the Router Buffer.

6. Power-to-Gas Unit for Renewable Energy Storage.

7. Dynamic Indexability and the Optimality of B-Trees.

8. Dual Processes, Buffering/Coping Effects, and Reciprocal Dynamics: The Social Demands-Resources Model of SNS Discontinuance.

9. Hybrid flowshop scheduling with batch-discrete processors and machine maintenance in time windows.

10. Uncertainty under MRP-planned manufacture: review and categorization.

11. Buffer capacity for accommodating machine downtime in serial production lines.

12. Buffer 46 Success Secrets : 46 Most Asked Questions on Buffer: What You Need to Know

13. Understanding the Impact of Video Quality on User Engagement.

14. EFFICIENT COMMAND BUFFER RECORDING FOR ACCELERATED RENDERING OF LARGE 3D SCENES.

15. Controlling Queue Delay.

16. Revisiting Network I/O APIs: The Netmap Framework.

18. Design of a Buffer Enabled Ad hoc On-demand Multipath Distance Vector Routing Protocol for Improving Throughput in Mobile Ad hoc Networks.

19. Lyapunov Optimized Resource Management for Multiuser Mobile Video Streaming.

20. Collaborative Data Scheduling With Joint Forward and Backward Induction in Small Satellite Networks.

21. Efficiently Mapping VLSI Circuits With Simple Cells.

22. Caching With Time Domain Buffer Sharing.

23. Multimedia service utilizing hierarchical fog computing for vehicular networks.

24. Buffering the Breach: Examining the Three-Way Interaction Between Unit Climate Level, Strength, and Psychological Contract Breach.

25. Relay-pair selection in buffer-aided successive opportunistic relaying using a multi-antenna source.

26. A program-driven approach joint with pre-buffering and popularity to reduce latency during channel surfing periods in IPTV networks.

27. Optimizing buffer size for the retrial queue: two state space collapse results in heavy traffic.

28. Dynamic monitoring and control of a critical chain project based on phase buffer allocation.

29. Integrated Sized-Based Buffer Management Policy for Resource-Constrained Delay Tolerant Network.

30. Heterogeneous FPGA-Based Cost-Optimal Design for Timing-Constrained CNNs.

31. Achievable Rate Region of the Buffer-Aided Two-Way Energy Harvesting Relay Network.

32. Cache Placement in Two-Tier HetNets With Limited Storage Capacity: Cache or Buffer?

33. Optimize adaptive media playout using dynamic fuzzy logic control for video streaming.

34. CAFA: A Checksum-Aware Fuzzing Assistant Tool for Coverage Improvement.

35. Subpage-Aware Solid State Drive for Improving Lifetime and Performance.

36. SABA: segment and buffer aware rate adaptation algorithm for streaming over HTTP.

37. An Interview with Frances E. Allen.

38. Flowshop sequencing problems with limited buffer storage.

39. AGV-served assembly lines: influence of sequencing and launch policies on system performances.

40. Two allocation methods for buffer storage in split automatic transfer lines.

41. Estimation of line efficiency by aggregation.

42. Buffers and backup machines in automatic transfer lines.

43. A gradient technique for general buffer storage design in a production line.

44. The interstage buffer storage capacity of non-powered assembly lines.

45. Order overplanning with uncertain lumpy demand: a simplified theory.

46. Formulations and heuristics for scheduling in a buffer-constrained flowshop and flowline-based manufacturing cell with different buffer-space requirements for jobs: Part 2.

47. Buffer allocation in unbalanced three-station serial lines.

48. Assembly line balancing with buffers by multiple criteria optimization.

49. DETECTION AND PREVENTION OF STACK BUFFER OVERFLOW ATTACKS.

50. OPTIMAL BUFFER STORAGE CAPACITY IN PRODUCTION LINE SYSTEMS.

Catalog

Books, media, physical & digital resources