Search

Your search keyword '"*SEMICONDUCTOR wafer bonding"' showing total 379 results

Search Constraints

Start Over You searched for: Descriptor "*SEMICONDUCTOR wafer bonding" Remove constraint Descriptor: "*SEMICONDUCTOR wafer bonding"
379 results on '"*SEMICONDUCTOR wafer bonding"'

Search Results

151. Impact of Bottom-Gate Biasing on Implant-Free Junctionless Ge-on-Insulator n-MOSFETs.

152. 20-nm In0.8Ga0.2As MOSHEMT MMIC Technology on Silicon.

153. Hydrogel-mediated semiconductor wafer bonding.

154. Surface energy engineering for LiTaO3 and α-quartz SiO2 for low temperature (<220 °C) wafer bonding.

155. Characterization of dual‐junction III‐V on Si tandem solar cells with 23.7% efficiency under low concentration.

156. Degradation analysis of 1 MeV electron and 3 MeV proton irradiated InGaAs single junction solar cell.

157. Evaluation of Hybrid Bonding Interface Quality by Contact Resistivity Measurement.

158. Printed assemblies of microscale triple‐junction inverted metamorphic GaInP/GaAs/InGaAs solar cells.

159. Fabrication of grating coupled GaAs/AlGaAs quantum well infrared photodetector on an Si substrate.

160. Low-Temperature Fabrication of Wafer-Bonded Ge/Si p-i-n Photodiodes by Layer Exfoliation and Nanosecond-Pulse Laser Annealing.

161. Effect of Substrate Transfer on Performance of Vertically Stacked Ultrathin MOS Devices.

162. A Simple Low-Cost Electric-Contact-Assisted Alignment Method for Die Stacking on an Interposer or a Printed Circuit Board.

163. Transient current technique for charged traps detection in silicon bonded interfaces.

164. Single-Side Fabricated p+Si/Al Thermopile-Based Gas Flow Sensor for IC-Foundry-Compatible, High-Yield, and Low-Cost Volume Manufacturing.

165. High-Thermoresistant Temporary Bonding Technology for Multichip-to-Wafer 3-D Integration With Via-Last TSVs.

166. Bonding Energy of Silicon and Sapphire Wafers at Elevated Temperatures of Joining.

167. Characterization of a 4-inch GaN wafer by X-ray diffraction topography.

168. Sensitivity Optimization of Wafer Bonded Gravimetric CMUT Sensors.

169. Enhanced cracking in Si/B-doped Si0.70Ge0.30/Si heterostructures via hydrogen trapping effect.

170. Optimization and Characterization of Low-Temperature Wafer-Level Hybrid Bonding Using Photopatternable Dry Film Adhesive and Symmetric Micro Cu Pillar Solder Bumps.

172. Sn-Cu codeposition from a non-aqueous solution based on ethylene glycol for wafer-bonding applications: direct and pulse electroplating.

173. Fabrication of microfluidic cavities using Si-to-glass anodic bonding.

174. Wafer-level Packaging, Equipment Made in House, and Heterogeneous Integration.

175. Effect of NH4OH Treatment on Plasma-Assisted InP/Al2O3/SOI Direct Wafer Bonding.

176. Suppression of Anomalously Large Threshold Voltage in Wafer-Bonded Vertical Transistors by Enhancing Critical Field to Impact Ionization.

177. Low-Temperature Material Stacking of Ultrathin Body Ge (110)-on-Insulator Structure via Wafer Bonding and Epitaxial Liftoff From III-V Templates.

178. Effect of NH4OH Treatment on Plasma‐Assisted InP/Al2O3/SOI Direct Wafer Bonding.

179. Uneven-Topography-Chip Packing Approach Using Double-Self-Assembly Technology for 3-D Heterogeneous Integration.

180. Low-temperature oxide-free silicon and germanium wafer bonding based on a sputtered amorphous Ge.

181. Low temperature bonding of heterogeneous materials using Al2O3 as an intermediate layer.

182. Polymer bonding temperature impact on bonded stack morphology and adherence energy.

183. Surface pretreated low-temperature aluminum-aluminum wafer bonding.

184. Magnetic field assisted bonding technology for released micro actuator and mirror surface.

185. Completion Time Analysis of Wafer Lots in Single-Armed Cluster Tools With Parallel Processing Modules.

186. Improvement on the interface properties of p-GaAs/n-InP heterojunction for wafer bonded four-junction solar cells.

188. Fabrication of InGaAs-on-Insulator Substrates Using Direct Wafer-Bonding and Epitaxial Lift-Off Techniques.

189. Controllable cracking behavior in Si/Si0.70Ge0.30/Si heterostructure by tuning the H+ implantation energy.

190. Fabrication of Novel MEMS Microgrippers by Deep Reactive Ion Etching With Metal Hard Mask.

191. Practical CMUT Fabrication With a Nitride-to-Oxide-Based Wafer Bonding Process.

192. Innovative Ge-SiO bonding based on an intermediate ultra-thin silicon layer.

193. Simplified low-temperature wafer-level hybrid bonding using pillar bump and photosensitive adhesive for three-dimensional integrated circuit integration.

194. Reconstruction of the near-field distribution in an X-ray waveguide array.

195. Thermal Analysis of Si/GaAs Bonding Wafers and Mitigation Strategies of the Bonding Stresses.

196. Varifocal Scanner Using Wafer Bonding.

197. Characterization and Benchmarking of the Low Intertier Thermal Resistance of Three-Dimensional Hybrid Cu/Dielectric Wafer-to-Wafer Bonding.

198. Fabrication of radiation hardened SOI with embedded Si nanocrystal by ion-cut technique.

199. High-QWafer Level Package Based on Modified Tri-Layer Anodic Bonding and High Performance Getter and Its Evaluation for Micro Resonant Pressure Sensor.

200. Temporary Wafer Bonding Materials with Mechanical and Laser Debonding Technologies for Semiconductor Device Processing.

Catalog

Books, media, physical & digital resources