Search

Your search keyword '"Alain C. Diebold"' showing total 401 results

Search Constraints

Start Over You searched for: Author "Alain C. Diebold" Remove constraint Author: "Alain C. Diebold"
401 results on '"Alain C. Diebold"'

Search Results

101. Overview of Optical Metrology of Advanced Semiconductor Materials

102. A Novel X-ray Diffraction and Reflectivity Tool for Front-End of Line Metrology

103. Overview of Mask Metrology

104. Stress-induced Effects Caused by 3D IC TSV Packaging in Advanced Semiconductor Device Performance

105. Annealed Si∕SiGeC Superlattices Studied by Dark-Field Electron Holography, ToF-SIMS and Infrared Spectroscopy

106. Standards for Nano-Enabled Applications of Electronics: Perspectives from IEC

107. The Protocol Of KFM Characterization On Cross-section Of CdS∕CdTe Thin Film Solar Cell

108. The Impact Of Organic Contamination On The Oxide-Silicon Interface

109. Characterization of Strain Induced by PECVD Silicon Nitride Films in Transistor Channels

110. Advances in CD-Metrology (CD-SAXS, Mueller Matrix based Scatterometry, and SEM)

111. Investigation of Boron Redistribution during Silicidation in TiSi[sub 2] using Atom Probe Tomography

112. Recent Advances In 2D-Band Structure Imaging By k-PEEM and Prospects For Technological Materials

113. Analysis of the Noble Metals on Silicon Wafers by Chemical Collection and ICPMS

114. Advanced Monitoring of Trace Metals Applied to Contamination Reduction of Silicon Device Processing

115. Nanomechanical Characterization and Metrology for Low-k and ULK Materials

116. Metrology and Failure Analysis for 3D IC Integration

117. Joint Research on Scatterometry and AFM Wafer Metrology

118. MOTIS: A Focused Ion Beam Source Based On Laser-Cooled Atoms

119. TSOM Method for Nanoelectronics Dimensional Metrology

120. Micro Roughness Determination Of Periodic Microelectronics Structures Using Optical Far Field Measurements

121. Characterization and Failure Analysis of 3D Integrated Systems using a novel plasma-FIB system

122. Front Matter for Volume 1395

123. Ultimate Backside Sample Preparation For Ultra Thin High-k∕Metal Gate Stack Characterization

124. Frontiers of More than Moore in Bioelectronics and the Required Metrology Needs

125. Analytical Study of BAM (Al∕GaAs) and Photovoltaic Samples Using State-of-The-Art Auger Nanoprobes

126. Line Edge Roughness of Directed Self-Assembly PS-PMMA Block Copolymers—A Candidate for Future Lithography

127. Scanning He+ Ion Beam Microscopy and Metrology

128. Characterization Of Nanodevices By STEM Tomography

129. Thin Films Mechanical Characterization Using Colored Picosecond Acoustics

130. SiC Epitaxial Layer Resistivity Monitoring; A look at Existing and Novel Electrical Methods

131. Electrical Characterization of Resistive Switching Memories

132. Effects of Roughness on Scatterometry Signatures

133. A Traceable Scatterometry Measurement of a Silicon Line Grating

134. Hybrid Metrology & 3D-AFM Enhancement for CD Metrology Dedicated to 28 nm Node and Below Requirements

135. Measurement of Nanograin Orientations: Application to Cu Interconnects

136. Observation of Work Functions, Metallicity, Band Bending, Interfacial Dipoles by EUPS for Characterizing High-k∕Metal Interfaces

137. Nanocharacterization Challenges in a Changing Microelectronics Landscape

138. Multi-technique Approach for the Evaluation of the Crystalline Phase of Ultrathin High-k Gate Oxide Films

139. FIB∕SEM Structural Analysis Of Through-Silicon-Vias

140. Enhanced Spatial Resolution Electrical Scanning Probe Microscopy By Using Carbon Nanotube Terminated Tips

141. A survey of non-destructive surface characterization methods used to insure reliable gate oxide fabrication for silicon IC devices

142. Simulation study of aberration-corrected high-resolution transmission electron microscopy imaging of few-layer-graphene stacking

143. An Optimized 300mm BCB Wafer Bonding Process for 3D Integration

144. Sub-imaging Techniques For 3D-Interconnects On Bonded Wafer Pairs

145. Evaluation of surface analysis methods for characterization of trace metal surface contaminants found in silicon integrated circuit manufacturing

146. The ITRS metrology roadmap

147. Automated crystal phase and orientation mapping of nanocrystals in a transmission electron microscope

148. GIXRF In The Soft X-Ray Range Used For The Characterization Of Ultra Shallow Junctions

149. Effects of Experimental Parameters on the Work Function Measurement: A Kelvin Force Microscopy Study

150. Porous SiOCH Post Plasma Damage Characterization Using Ellipsometric Porosimetry

Catalog

Books, media, physical & digital resources