140 results on '"Diniz, José Alexandre"'
Search Results
52. Estudo teórico da stressmigration e da tensão mecânica em interconexões de cobre com tecnologia de air-gaps através de simulação nmérica do processo de fabricação damascene
- Author
-
Magro, Estevão Strini, 1989, Orio, Roberto Lacerda de, 1981, Diniz, José Alexandre, Teixeira, Ricardo Cotrin, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Cobre ,Confiabilidade ,Integrated circuits ,Circuitos integrados ,Vacâncias ,Reliability ,Copper ,Vacancies - Abstract
Orientador: Roberto Lacerda de Orio Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este trabalho apresenta um estudo do impacto do material dielétrico na confiabilidade da interconexão sob a ótica da stressmigration com foco em modelagem e simulação numérica tridimensional. Foram utilizados quatro materiais isolantes diferentes, SiO2, TEOS, SiLK e SiCOH que perfazem a evolução da tecnologia de interconexões. Em particular, destaca-se o estudo para estruturas que incorporam os chamados air-gaps, uma proposição tecnológica recente que visa a redução na constante dielétrica efetiva associada à estrutura de interconexões. Numa segunda etapa deste trabalho são apresentadas as diferenças da simulação simplificada, em apenas um passo, em relação à simulação passo a passo, referente ao processo de fabricação de interconexões pelo processo dual-dasmascene. De uma análise detalhada das etapas de fabricação foram determinadas as regiões onde ocorrem as maiores magnitudes de tensão mecânica e, consequentemente, possivelmente a formação de falhas. A verificação dos modelos e sua implementação foi realizada através da comparação com resultados da literatura. Para uma estrutura com as mesmas características, obtivemos um erro médio entre 10% e 15% na tensão hidrostática medida. O material dielétrico SiCOH mostrou-se o melhor no quesito confiabilidade. Sua tensão hidrostática nas interfaces Cu/Ta e Cu/SiN sofreram diminuições da ordem de 36% quando comparados com isolantes típicos como TEOS e SiO2. Usando a técnica desenvolvida para a simulação da fabricação completa de interconexões de cobre, foi observado uma tensão hidrostática de 250 MPa na interface Cu/Ta, na VIA. Isto corresponde um aumento de 19% em relação ao valor de 235 MPa obtido na simulação simplificada. Na interface Cu/Ta, em M1, esse aumento chegou a 108%, passando de 120 MPa da simulação em passo simples para 250 MPa com a simulação do processo. Isto mostra a importância em se considerar as diversas etapas de fabricação como realizado neste trabalho, o que é raramente empregado na literatura devido a sua complexidade de implementação. Adicionando a tecnologia de AG no processo de fabricação completo da estrutura de interconexão mais avançada, os resultados mostraram que a tensão hidrostática na interface Cu/SiCN sofreu uma redução de 66% em relação a estrutura sem AG. Além disso, as simulações indicaram que a introdução do AG não altera o efeito da stressmigration. Adicionalmente, identificamos que a etapa mais crítica do processo, isto é, aquela que gera a maior tensão, é a deposição de SiCN, onde a tração mecânica atinge valores de até 400 MPa na interface Cu/Ta/SiCN para estrutura sem AG e 300 MPa com AG. Portanto, sob o ponto de vista da confiabilidade pode-se dizer que o uso da tecnologia do AG se mostrou aqui mais adequada devido à redução da magnitude de tensão gerada. De forma geral, os resultados apresentados se mostram consistentes com o conhecimento teórico e prático existente. Trabalhos experimentais demonstram a geração de cavidades e falhas em regiões próximas às vias e nas interfaces Cu/capa. As simulações aqui realizadas mostram o desenvolvimento de tensões elevadas exatamente nestas regiões, estando, portanto, de acordo com as observações experimentai Abstract: This work presents a study of the impact of the dielectric material on the reliability of the interconnection from the standpoint of stressmigration focusing on three - dimensional numerical modeling and simulation. Four different insulating materials, SiO2, TEOS, SiLK and SiCOH were used, which characterize the evolution of the interconnection technology. Particularly noteworthy is the study for structures that incorporate so-called air-gaps, a recent technological proposition aimed at reducing the effective dielectric constant associated with the interconnection structure. In a second step of this work, we present the simplified simulation differences, in just one step, in relation to the step-by-step simulation of the process of manufacturing interconnections by the dual-dasmascene process. From a detailed analysis of the manufacturing steps the regions where the highest magnitudes of mechanical stress occurred and, consequently, possibly the formation of failures were determined. The verification of the models and their implementation was performed through the comparison with literature results. For a structure with the same characteristics, we obtained an average error between 10% and 15% in the measured hydrostatic voltage. The SiCOH dielectric material proved to be the best in reliability. Their hydrostatic voltage at the Cu / Ta and Cu / SiN interfaces suffered decreases of the order of 36% when compared with typical insulators like TEOS and SiO2. Using the technique developed for the simulation of the complete fabrication of copper interconnections, a hydrostatic voltage of 250 MPa was observed at the Cu / Ta interface in the VIA. This corresponds to an increase of 19% in relation to the value of 235 MPa obtained in the simplified simulation. In the Cu / Ta interface, in M1, this increase reached 108%, from 120 MPa of the simulation in single step to 250 MPa with the simulation of the process. This shows the importance of considering the various steps of manufacturing as performed in this work, which is rarely used in the literature because of its complexity of implementation. By adding the AG technology in the complete fabrication process of the most advanced interconnection structure, the results showed that the hydrostatic voltage at the Cu / SiCN interface was reduced by 66% in relation to the structure without GA. In addition, the simulations indicated that the introduction of GA does not alter the effect of stressmigration. In addition, we identify that the most critical step of the process, ie the one that generates the greatest stress, is SiCN deposition, where the mechanical traction reaches values ??of up to 400 MPa at the Cu / Ta / SiCN interface for structure without AG and 300 MPa with AG. Therefore, from the point of view of reliability it can be said that the use of AG technology has proved to be more adequate due to the reduction of the magnitude of the generated voltage. In general, the results presented are consistent with the existing theoretical and practical knowledge. Experimental work demonstrates the generation of cavities and faults in regions near the pathways and at Cu / cover interfaces. The simulations performed here show the development of high tensions exactly in these regions, and are therefore in agreement with the experimental observations Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica CNPQ 134840/2016-0
- Published
- 2021
- Full Text
- View/download PDF
53. Corrosão por plasma para tecnologias CMOS e microssistemas
- Author
-
Reyes Betanzo, Claudia, Swart, Jacobus Willibrordus, 1950, Moshkalev, Stanislav, 1952, Diniz, José Alexandre, Moraes, Mário Antônio Bica de, Machida, Munemasa, Verdonck, Patrick Bernard, Seabra, Antonio Carlos, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Semicondutores complementares de óxido metálico ,Descargas elétricas através de gases ,Plasma (Gases ionizados) ,Microeletrônica - Abstract
Orientadores: Jacobus Willibrordus Swart, Stanislav Alexandrovich Moshkalyov Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação Resumo: Esta tese apresenta os resultados do desenvolvimento e da otimização de uma tecnologia própria na área de fabricação de dispositivos CMOS e Microssistemas, realizados no Centro de Componentes Semicondutores da UNICAMP, pretendendo desenvolver processos em uma das técnicas mais críticas da microfabricação: corrosão de materiais por plasmas. Neste trabalho foram desenvolvidos processos de corrosão dos seguintes materiais: nitreto de silício (SiNx), óxido de silício (SiO2) e silício policristalino implantado com fósforo, usados na fabricação de dispositivos CMOS, além de silício monocristalino usado na fabricação de Microssistemas. Cada processo de corrosão foi desenvolvido em base às características específicas requeridas do processo de fabricação tais como a taxa de corrosão, seletividade, anisotropia e qualidade da superfície. Para os processos de corrosão foram usados dois equipamentos diferentes: um reator para corrosão iônica reativa (RIE) e um reator para corrosão por ressonância ciclotrônica de elétrons (ECR). Para analisar os mecanismos de interação plasma-superfície no reator RIE, foi realizada uma caracterização do plasma através de dois modelos teóricos e por técnica de espectroscopia óptica (actinometria). Com isto, foi possível obter informações adicionais sobre a distribuição de potência no plasma e a cinética dos processos no plasma e na superfície, a partir dos parâmetros mensuráveis. Para a corrosão de SiNx desenvolveram-se diferentes processos usando várias misturas de gases em dois reatores diferentes, RIE e ECR. As características requeridas para este processo foram: uma taxa de corrosão relativamente alta, alta seletividade para SiO2 e Si e boa qualidade da superfície. No caso de corrosão RIE, foram usadas as misturas CF4/H2, CF4/O2/N2, SF6/O2/N2, SF6/CH4/N2 e SF6/CH4/N2/O2. Foi possível obter processos com alta taxa de corrosão (até 47nm/min), altas seletividades para SiO2 e Si (em torno de 6 e 10, respectivamente) e boa qualidade da superfície na interface SiNx/SiO2 (rugosidade média ~ 5 A). No caso da corrosão ECR foram usadas as misturas SF6/O2/N2 e SF6/O2/N2/Ar. Foi possível obter processos com taxas de corrosão altas (até 28 nm/min) e melhores seletividades SiNx/SiO2 e SiNx/Si (até 50 e 20, respectivamente ). Para SiO2, a principal característica requerida do processo foi uma alta seletividade para Si. Foi desenvolvido um processo híbrido altamente seletivo SiO2/Si (~30) por corrosão iônica reativa, usando as misturas SF6/Ar e CF4/H2/Ar. Para a corrosão de silício policristalino implantado com fósforo, foi necessário desenvolver processos com alta seletividade e anisotropia. Foram usadas diversas misturas de gases à base de flúor e cloro, SF6/CH4/N2, SF6/CF4/N2, SF6/CF4/CHF3 e SiCI4/CF4 no reator RIE. Foi possível obter processos com boa seletividade (até 6), e alto fator de anisotropia (~1,0). Para a corrosão profunda de silício monocristalino é necessária uma alta taxa de corrosão e anisotropia. Usando as misturas SF6/CH4/O2/Ar, SF6/CF4/O2/Ar, SF6/CHF3/O2/Ar no reator RIE, chegou-se a processos com uma taxa de corrosão alta (até 0,6 mm/min) e alta anisotropia (~0,95). Para gravação de resistes (usados como máscara contra a corrosão), foram usadas duas técnicas: fotolitografia (estruturas com largura mínima até 1 mm) e litografia por feixe de elétrons (largura mínima até 0,25 mm). Com a última, estruturas sub-micrométricas (até 0,1 mm) foram fabricadas com sucesso em filmes de Si-poli. Discutiram-se os mecanismos de corrosão para cada processo desenvolvido e as perspectivas de melhorar os processos Abstract: This thesis presents the results of development and optimization of a proper technology in the area of CMOS devices and Microsystems manufacturing, carried out in the Center for Semiconductor Components, UNICAMP, aiming to develop processes in one of the most critical techniques of the microfabrication: plasma etching. In this work we developed etching processes of the following materials: silicon nitride (SiNx), silicon oxide (SiO2) and polycristalline silicon implanted with phosporus, used in the manufacturing of CMOS devices, and monocrystalline silicon used in the manufacturing of Microsystems. Each etching process was developed according to the specific characteristics required for the manufacturing process such as the etch rate, selectivity, anisotropy and quality of the surface. For the etching processes two different equipments were used: a reactor for reactive ion etching (RIE) and a reactor for electron cyclotron resonance etching (ECR). To analyze the plasma-surface interaction mechanisms in the RIE reactor, plasma characterization employing two theoretical models and an optical spectroscopy technique (actinometry) was made. By doing so it was possible to obtain information on the power distribution in the plasma and on the kinetics of the processes in the plasma and on the surface, using measurable parameters. For the etching of SiNx, different processes using a number of gas mixtures in two different reactors, RIE and ECR, were developed. The requirements for these processes were: a relatively high etch rate, high selectivity over SiO2 and Si, and good surface quality. In the RIE case, CF4/H2, CF4/O2/N2, SF6/O2/N2, SF6/CH4/N2 e SF6/CH4/N2/O2 gas mixtures were used. Processes with high etch rates (as high as 47nm/min), high selectivities over SiO2 and over Si (up to 6 and 10, respectively), and good surface quality at the SiNx/SiO2 interface (roughness as low as ~5 A) were obtained. In the ECR case, SF6/O2/N2 and SF6/O2/N2/Ar gas mixtures were used. Processes with reasonably high etch rate (up to 28 nm/min), and better selectivities SiN/SiO2 and SiNx/Si (as high as 50 and 20, respectively) were realized. For the SiO2 etching, the main characteristic required was a high selectivity over Si. A hybrid process, highly selective to Si (up to ~30) was developed for reactive ion etching, by using the SF6/Ar and CF4/H2/Ar gas mixtures. For the etching of polysilicon it was necessary to develop processes with high selectivity and anisotropy. A number of gas mixtures based on fluorine and chlorine, namely SF6/CH4/N2, SF6/CF4/N2, SF6/CF4/CHF3 e SiCI4/CF4 were used in the RIE reactor. Processes with good selectivity (up to 6), and high factor anisotropy (~1.0) were developed. For the deep monosilicon etching, a high etch rate and anisotropy are necessary. The SF6/CH4/O2/Ar, SF6/CF4/O2/Ar, SF6/CHF3/O2/Ar gas mixtures were used in in the RIE reactor. Processes with reasonably high etch rate (up to 0.6 mm/min) and high anisotropy (as high as ~0.95) were obtained. For lithography of resists (used as masks against the etching), two different techniques were used: photolitography (for structures with the minimum width of 1 mm) and electron beam litography ( or the minimum width of 0.25 mm). With the latter, submicron structures (as low as ~ 0.1 mm) were manufactured successfully in films of polysilicon. For each process developed, the etching mechanisms are discussed, as well as the perspectives to improve the characteristics of these processes Doutorado Doutor em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
54. Desenvolvimento de biossensores do tipo transistor de efeito de campo a base de grafeno (GraFET) decorados com nanopartículas de ouro aplicados na detecção ultra-sensível de biomarcadores de câncer de mama
- Author
-
Silva, Cecília de Carvalho Castro e, 1987, Kubota, Lauro Tatsuo, 1964, Souza, Eunezio Antonio de, Zarbin, Aldo José Gorgatti, Diniz, José Alexandre, Universidade Estadual de Campinas. Instituto de Química, Programa de Pós-Graduação em Química, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Biossensores ,Biosensors ,Breast cancer ,Transistores de efeito de campo ,Grafeno ,Nanopartículas de ouro ,Gold nanoparticles ,Mamas - Câncer ,Field effect transistors ,Graphene - Abstract
Orientador: Lauro Tatsuo Kubota Tese (doutorado) - Universidade Estadual de Campinas, Instituto de Química Resumo: Este trabalho descreve a fabricação em grande escala de Transistores de Efeito de Campo a base de Grafeno (GraFETs) decorados com nanopartículas de ouro e a aplicação destes no desenvolvimento de biossensores altamente sensíveis para a detecção dos biomarcadores de câncer de mama HER-2. O grafeno foi obtido via processo de deposição química em fase vapor (CVD), sendo este caracterizado através de microscopia eletrônica de varredura, de força atômica e ótica e espectroscopia Raman, comprovando a obtenção de uma monocamada livre de defeitos. O grafeno sintetizado foi transferido à uma lâmina de Si/SiO2 contendo as matrizes de FET, que foram previamente fabricadas por processos convencionais de fotolitografia e deposição de metais, sendo obtidos ao final mais de 2600 GraFETs. Estes foram então caracterizados eletricamente em ar e em solução, exibindo assim sensibilidade a mudanças de pH e adsorção de proteínas. Na etapa seguinte, demonstrou-se pela primeira vez que a funcionalização da superfície do grafeno com a p-mercaptopiridina conduz a imobilização de uma elevada densidade de nanopartículas de ouro sobre o grafeno, de forma estável e homogênea. Além disso, por meio do emprego da Proteína A, foi possível realizar a imobilização orientada dos anticorpos sobre o grafeno e sobre as nanopartículas de ouro. Os GraFETs se mostraram sensíveis a detecção da proteína HER-2, porém com a incorporação das nanopartículas de ouro na superfície do grafeno tornou-se possível detectar níveis extremamente baixos de HER-2, na ordem de 10-15 mol L-1. Tais magnitudes de concentrações para este tipo de proteína não haviam até então sido detectados empregando-se dispositivos FETs convencionais, destacando-se assim o potencial da metodologia empregada nesta tese para a detecção precoce do desenvolvimento do câncer de mama Abstract: This work describes the large scale fabrication of Graphene Field Effect Transistors (GraFETs) decorated with gold nanoparticles and their application on the development of highly sensitive biosensors for detecting HER-2 breast cancer biomarkers. Graphene layers were produced by the chemical vapour deposition (CVD) method, and the obtained graphene was characterized by scanning electron microscopy, atomic force microscopy and optical microscopy as well as Raman spectroscopy, confirming that the obtained graphene is defect-free and formed by a single monolayer. The co-synthesized graphene was then transfered to a Si/SiO2 wafer containing the pre-patterned source and drain FET electrodes, which were produced by conventional photolithography and metal deposition, generating in total more than 2600 GraFETs in a single wafer. The devices were electrically characterized in air and in solution and demonstrated enough sensitivity to detect pH changes and protein adsorption. In the next step, it was demonstrated for the first time that the chemical functionalization of graphene surface with p-mercaptopyridine leads to the homogeneous and stable immobilization of a high density monolayer of gold nanoparticles on its surface. Additionally, by using protein A, it was possible to carry out the oriented immobilization of the antibodies on graphene and over the gold nanoparticles. The GraFET sensors without the gold nanoparticles were able to perform highly sensitive detection of the HER-2 protein, however, after the incorporation of gold nanoparticles on the graphene surface, concentrations even lower in the range of 10-15 mol L-1 of the HER-2 protein were detected. Such low levels of detection for this kind of biomarker using conventional FET have not been reported before, thus, highlighting the potential of this approach to diagnose the primary levels of breast cancer development Doutorado Química Analítica Doutora em Ciências CNPQ 146693/2011-6, 239065/2012-3
- Published
- 2021
- Full Text
- View/download PDF
55. Simulação acelerada de baixo custo para aplicações em nanoengenharia de materiais
- Author
-
Turatti, Luiz Gustavo, 1977, Swart, Jacobus Willibrordus, 1950, Moshkalev, Stanislav, 1952, Moshkalve, Stanislav, Morselli Junior, João Carlos de Moraes, Pavanello, Marcelo Antonio, Diniz, José Alexandre, Ferreira, Luiz Otavio Saraiva, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Método de Monte Carlo ,Feixes de íons focalizados ,Focused ion beam ,Parallel programming ,High performance computing ,Computação de alto desempenho ,Computer simulation ,Monte Carlo Method ,Programação paralela (Computação) ,Simulação (Computadores) - Abstract
Orientadores: Jacobus Willibrordus Swart, Stanislav Moshkalev Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este é um trabalho multidisciplinar que aborda questões de química, física, engenharia elétrica (nanoengenharia) e principalmente avanços obtidos com simulações por computador. Os programas comumente utilizados para simulações de fótons/íons focalizados em outro material consomem recursos computacionais por diversas horas ou até dias, para concluir os cálculos de determinado experimento, como a simulação de um processo efetuado com o equipamento FIB/SEM (Focused Ion Beam/Scanning Electron Miscroscopy), por exemplo. Através do uso de ambientes computacionais virtualizados, associados a programação paralela em CPU (Central Processing Unit) e GPGPU (General Purpose Graphics Processing Unit) é possível reduzir significativamente o tempo da simulação de horas para minutos, em situações de interação de partículas, que envolvem aproximação de colisões binárias (BCA, Binary Collision Approximation) e o Método de Monte Carlo (MMC), principalmente. O uso de placas gráficas (comumente utilizadas para jogos) potencializou o poder de processamento numérico para uso acadêmico a baixo custo, reduzindo o tempo para obtenção de resultados que foram comprovados experimentalmente. A utilização de programas análogos que empregam BCA e MMC, tais como TRIM/SRIM (Transport of Ions in Matter, atualizado para Stopping and Range of Ions in Matter), MCML (Monte Carlo for Multi Layered media) e CUDAMCML (Compute Unified Device Architecture, MCML) auxiliam a comparação de ganho de desempenho entre CPU e GPGPU evidenciando o melhor desempenho desta última arquitetura, com CUDA. Em simulações equivalentes com matrizes esparsas executadas em CPU e GPGPU, a redução do tempo de processamento variou entre três e quinze mil vezes, respectivamente. Com o Método de Monte Carlo, a redução foi de até cento e quarenta e uma vezes para melhores resultados. As simulações de alto desempenho e baixo custo computacional permitem antever algumas situações experimentais, diminuindo a necessidade de explorar todas as possibilidades práticas e, dessa forma, reduzindo o custo com laboratório Abstract: This is a multidisciplinary work that addresses issues of chemistry, physics, electrical engineering (Nanoengineering) and especially advances obtained with computer simulations. Programs commonly used for simulations of photons/ions focused onto other materials consume computational resources for several hours or even days, to complete the simulations of a process performed with the equipment FIB/SEM (Focused Ion Beam/Scanning Electron Miscroscopy), for example. Through virtualized computing environments associated with parallel programming on CPU (Central Processing Unit) and GPGPU (General Purpose Graphics Processing Unit) is possible to significantly reduce the simulation total time from hours to minutes in the interactions of particles, involving binary collision approximation (BCA) and Monte Carlo method (MMC), mostly. The use of graphics cards (generaly used for games) enhanced the numerical processing power to be used in academia with low cost and reduced the time to obtain results experimentally verified. The use of similar software using BCA and MMC, such as TRIM/SRIM (Transport of Ions in Matter, upgraded to Stopping and Range of Ions in Matter), MCML (Monte Carlo for Multi Layered media) and CUDAMCML (Compute Unified Device Architecture, MCML) helped us to make a comparison of performance between CPU and GPGPU showing the best performance of the latter architecture, with CUDA. In equivalent simulations using sparse matrices in CPU and GPGPU, the time reduction of processing varied between three and fifteen thousand times, respectively. With the Monte Carlo method, reduction was up to one hundred forty one times for best results. Simulations of high performance and low computational cost allow us to predict some experimental situations, reducing the need to explore all practical possibilities and thus, reducing the lab costs Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
56. Análise e projeto de buffers de alta velocidade e baixa distorção em tecnologia CMOS aplicados em conversores analógico-digitais
- Author
-
Campos, Marcel Veloso, 1977, Manêra, Leandro Tiago, 1977, Torres, Frank Sill, Salles, Luciana Pedrosa, Diniz, José Alexandre, Orio, Roberto Lacerda de, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Nonlinear electrical circuits ,Amplificadores operacionais ,Analog-to-digital converters ,Circuitos elétricos não-lineares ,Integrated circuits ,Conversores analógicos-digitais ,Realimentação ,Circuitos integrados ,Operational amplifiers ,Feedback - Abstract
Orientador: Leandro Tiago Manera Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Projetos de conversores Analógico-Digitais com alta resolução, alta velocidade e consumo moderado se tornou dominante devido à demanda atual em tecnologias voltadas para comunicações em fio. No universo dos diferentes tipos de conversores, a arquitetura Pipeline, que faz uso de blocos de amostragem e retenção com a utilização de Buffers, vem se destacando por alcançar melhores resultados no que diz respeito à resolução na frequência de operação de 100 MHz, pois há uma vasta demanda de aplicações em equipamentos de uso militar e comercial que necessitam de aquisição de dados diretamente nesta banda. Quando os blocos destes circuitos projetados são construídos com Buffers bipolares em tecnologia BICMOS, eles podem atingir Spurious Free Dynamic Range (SFDR) menores que ?90 dB, ou seja, conseguem resoluções efetivas maiores que 14 bits. Entretanto, nas arquiteturas implementadas com a tecnologia CMOS utilizando o mesmo tipo de estrutura, este valor se limita a ?80 dB. Esta foi uma das principais alavancas que motivaram o desenvolvimento deste projeto, que envolve circuitos Buffers em tecnologia CMOS 0,35 µm. Desta forma, esta tese apresenta como objetivo principal o desenvolvimento de circuito Buffer em tecnologia CMOS que possa atingir Distorção Harmônica Total (THD) inferior a ?90 dB, operando na faixa de frequência de 100 MHz e com um nível de consumo relativamente baixo de 7 mW. Esta especificação de distorção permite propor a construção de blocos de amostragem e retenção com resoluções na ordem de 14 bits. Para isso, estudos de arquiteturas de malha aberta (open-loop) e circuitos realimentados são apresentados. Esta pesquisa, portanto, faz uso de análise matemática de pequenos ou grandes sinais com a finalidade de definir e quantificar os principais efeitos degradadores da linearidade tais como, o efeito de corpo, a modulação de canal, as capacitâncias parasitas e o efeito de carga. As técnicas de supressão, redução ou mesmo a compensação das fontes de erro supracitadas, que aparecem neste tipo de dispositivo, são empregadas na construção de cinco circuitos, sendo que uma dessas estruturas propostas faz o uso adicional do espelho de corrente na configuração Super Wilson. Um dos principais objetivos desta configuração é permitir a utilização de uma tensão de alimentação de menor amplitude, sem comprometer a excursão máxima do sinal. É importante destacar que, a principal meta no projeto do Buffer em CMOS foi alcançada. Um conjunto de medições experimentais foi realizado em todos os protótipos fabricados, confirmando que os projetos das arquiteturas aqui apresentadas podem dar uma contribuição modesta, porém importante para o estado da arte do projeto de Buffers na tecnologia CMOS Abstract: The design of Analog-to-Digital converters (ADC) with high resolution, high speed and moderate consumption became dominant due to its recent demand in wireless communications technologies. In the universe of different types of converters, the Pipelined architecture, which uses sample-and-hold blocks through the use of Buffers, stands out for achieving better resolution results related to the operating frequency of 100 MHz. This architecture has found many applications in both military and commercial equipment where data acquisitions in this frequency range are necessary. When the designed circuit blocks are constructed with bipolar buffers in BICMOS technology, they can achieve Spurious Free Dynamic Range (SFDR) less than ?90 dB, i.e. they can reach effective resolutions higher than 14 bits. However, in the architectures implemented in CMOS technology using the same type of dedicated electronic structure (SHA), this value is limited to ?80 dB. This was one of the main reasons that motivated the development of this thesis involving Buffers circuits in 0.35 µm CMOS technology. Desta forma, esta tese apresenta como objetivo principal o desenvolvimento de circuito Buffer em tecnologia CMOS que possa atingir Distorção Harmônica Total (THD) inferior a ?90 dB, operando na faixa de frequência de 100 MHz e com um nível de consumo relativamente baixo de 7 mW. Esta especificação de distorção permite construir de blocos de amostragem e retenção com resoluções na ordem de 14 bits. In this work, a Buffer circuit operating in the frequency range of 100 MHz, with a relatively low power consumption of 7 mW and a Total Harmonic Distortion (THD) lower than - 90 dB has been achieved. This distortion specification allows proposing the sample-and-hold blocks design with resolutions on the order of 14 bits. In order to get to these results, studies of open-loop circuits and closed-loop circuits were performed. In this way, this work has used mathematical analysis of small and large signals in order to define and quantify the main effects that degrades the circuit¿s linearity, such as the body effect, channel modulation, the effect of parasitic capacitances and the load effect. By using techniques for the elimination, reduction or offsetting these sources of error above-mentioned, which appear in this type of device, a design of five new circuits were obtained; one of these proposed structures make use of the Super Wilson current mirror. The main goal of this configuration is to allow the use of a lower supply voltage, without compromising the maximum signal excursion. It is important to highlight that the main goal in the CMOS buffer design has been achieved. A set of experimental measurements was performed in all prototypes, confirming that the design of such new architectures presented in this work can provide a modest contribution, but important to the state of the art of Buffer in CMOS technology Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
57. Desenvolvimento de filmes de silicio-germanio para aplicações em dispositivos MOS
- Author
-
Teixeira, Ricardo Cotrin, Doi, Ioshiaki, 1944, Fruett, Fabiano, Diniz, José Alexandre, Zambom, Luis da Silva, Zakia, Maria Beny Pinto, Tatsch, Peter Jürgen, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Filmes finos ,Thin films ,Silium ,Chemical vapor deposition ,Silício ,Deposição química de vapor - Abstract
Orientador: Ioshiaki Doi Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Conforme os dispositivos eletrônicos atingem dimensões nanométricas, surgem limitações que não podem ser solucionadas com os materiais empregados atualmente, como efeito de canal curto, depleção de porta, corrente de fuga e variação do Vt devido à variação estatística da dopagem. Dessa forma, novos materiais devem ser introduzidos no processo de fabricação para solucionar estes problemas. Um dos materiais cotados é a liga de silício germânio policristalino (SiGe-poli) em substituição ao Silício poli cristalino (Si-poli), utilizado atualmente como material de porta em MOSFET's. Nesta tese, estudamos a deposição de filmes de SiGe-poli utilizando um reator LPCVD vertical visando a fabricação de dispositivos MOS. Tanto o processo de deposição como características morfológicas e físicas dos filmes obtidos foram analisadas. Também foram realizadas medidas elétricas nas amostras e em dispositivos. Verificamos que os filmes obtidos apresentam uma excelente uniformidade e suas características elétricas permitem o seu uso em eletrodos de porta de dispositivos MOS Abstract: As electron devices shrinks to nanometric scale, new concerns emerge that can not be solved using the materials employed nowadays such as short channel effect, gate depletion, high leakage current and Vt spreading due to statistical variation of the doping process. Thus, new materials must be included in the manufacturing process in order to solve these problems. One of these materials is the polycrystalline silicon germanium alloy (poly-SiGe) as substitution for the polycrystalline silicon (poly-Si) in MOSFET gate applications. In this thesis, we study the deposition of poly-SiGe thin films using a vertical LPCVD reactor aiming for MOS devices fabrication. 80th the deposition process and morphological and physical characteristics of the deposited samples were evaluated. Electrical measurements were also performed on the samples and on devices. We found that the obtained samples have an excellent uniformity and that the electrical characteristics allow its usage as gate electrodes in MOS devices Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
58. Fabricação e caracterização de estruturas periódicas utilizando superposição de padrões de interferência para aplicações em fotônica e plasmônica
- Author
-
Menezes, Jacson Weber de, 1982, Braga, Edmundo da Silva, 1945, Cescato, Lucila Helena Deliesposte, 1957, Freschi, Agnaldo Aparecido, Rodríguez Esquerre, Vitaly Félix, Diniz, José Alexandre, Tatsch, Peter Jürgen, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Interferometria ,Lithography ,Interferometry ,Litografia ,Nanotecnologia ,Sensors ,Nanotechnology ,Detectores - Abstract
Orientadores: Edmundo da Silva Braga, Lucila Helena Deliesposte Cescato Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Esta tese tem como objetivo demonstrar a viabilidade e o potencial da técnica de superposição de padrões de interferência para fabricação de estruturas periódicas 2D e 3D para aplicações em cristais fotônicos e estruturas plasmônicas. A superposição de padrões consiste em expor a mesma amostra a padrões de interferência, produzidos por duas ondas planas, girando-se a amostra apropriadamente entre as exposições. A simulação do padrão de intensidade resultante, em função do número de exposições e do ângulo de rotação entre elas, foi feita utilizando o software MATHEMATICA. Estas simulações demonstraram que com apenas 3 exposições, girando-se apropriadamente a amostra, é possível obtermos padrões com periodicidade tridimensional. As estruturas gravadas na fotorresina positiva SC 1827, utilizando esta técnica, mostraram uma excelente concordância com os padrões simulados. Embora estas estruturas não apresentem um gap fotônico completo devido ao baixo índice de refração da fotorresina, foi possível medir o gap fotônico para determinadas direções de simetria da estrutura. Camadas Finas de Cristais Fotônicos 2D com simetria triangular foram fabricadas em vidros calcogenetos a base de antimônio. As medidas da transmitância espectral em função do ângulo de incidência da luz nas diferentes direções de simetria permitiram a medida experimental do diagrama de bandas. Para os modos mais baixos as medidas experimentais mostraram uma excelente concordância com os diagramas de bandas calculados utilizando o Método dos elementos finitos (FEM). Estruturas plasmônicas, compostas por arranjos quadrados de furos em filmes de ouro foram fabricadas e caracterizadas através de medidas de transmitância à incidência normal. Devido à grande sensibilidade da posição dos picos de ressonância de plasmons com o meio, foi realizado um estudo visando a otimização dos parâmetros destas estruturas para uso como sensores de índice de refração Abstract: The purpose of this thesis is to demonstrate the feasibility and the potential of the technique of superposition of interference patterns to generate 2D and 3D periodic structures for applications in photonic crystals and plasmonic structures. This technique consists in to expose the same sample to interference patterns produced by two plane waves, rotating properly the sample between exposures. The simulation of the resulting intensity pattern, as a function of the number of exposures and rotation angle between them, is done using the software MATHEMATICA. These simulations showed that only 3 exposures are enough to obtain three-dimensional periodic patterns. The structures recorded in SC 1827 positive photoresist, using this technique, showed excellent agreement with the simulated patterns. Although these structures do not show a complete photonic band gap because of the low refractive index of the photoresist, it was possible to measure the photonic band gap photonic for certain directions of symmetry of the structure. Thin layers of 2D Photonic Crystals with triangular symmetry were fabricated in chalcogenide glasses based on antimony. Measurements of spectral transmittance, at different incident angles in the directions of symmetry of the 2D crystal, allow obtaining the experimental band diagrams. For the lowest modes the experimental measurements agree very well with the diagrams calculated using the FEM. Plasmonic structures, composed of squared arrays of holes in gold films were fabricated and characterized through transmittance measurements at normal incidence. Due to the high sensitivity of the peak wavelength positions of plasmon resonances with the surrounding media, a study was conducted in order to optimize the parameters of these structures for refractive index sensing Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
59. Processos alternativos para micro e nanotecnologia
- Author
-
Biasotto, Cleber, Diniz, José Alexandre, 1964, Zoccal, Leonardo Breseghello, Ivanov, Henri, Doi, Ioshiaki, Tatsch, Peter Jürgen, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Microelectronics ,Nanotecnologia ,Lasers ,Junções rasas ,Low temperatures ,Laser ,Nanotechnology ,Baixas temperaturas ,Shallow junctions ,Microeletrônica - Abstract
Orientador: Jose Alexandre Diniz Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação Resumo: Atualmente para atender à necessidade de fabricação dos sensores, dispositivos eletrônicos e circuitos integrados com dimensões micro e nanométricas, novos processo de custos e de thermal budgets reduzidos são necessários. Este trabalho apresenta o desenvolvimento de alguns destes novos processos alternativos para aplicação nesta fabricação. O trabalho está dividido em quatro partes: a primeira parte apresenta a obtenção e a caracterização de filmes isolantes de nitreto de silício para aplicação em microsensores, tais como o sensor de pressão. Estes filmes foram obtidos sobre substratos de Si em baixa temperatura (20°C) utilizando-se um reator de plasma do tipo ECRCVD (Electron Cyclotron Resonance - Chemical Vapor Deposition). Normalmente reatores do tipo Low Pressure Chemical Vapor Deposition (LPCVD) ou Plasma Enhanced - CVD (PECVD) em temperaturas maiores que 600ºC e 250ºC, respectivamente, são utilizados para essa aplicação. A caracterização dos plasmas ECR, que foram usados para as deposições dos nitretos, e a fabricação de membranas suspensas com estes filmes são apresentadas. A segunda parte apresenta a fabricação e a caracterização de diodos p+-n fabricados em camada de SiGe crescida por LPCVD sobre substrato de Si. Processo este alternativo em substituição aos executados em reatores epitaxiais de alto custo. Na terceira parte deste trabalho, é apresentado o desenvolvimento de processos em baixa temperatura para aplicação em diodos e tecnologia MOS (Metal-Oxide-Semiconductor). São apresentadas a fabricação e a caracterização elétrica dos capacitores MOS, utilizando as tecnologias ALD (Atomic Layer Deposition) e ICP (Inductively Coupled Plasma) para a obtenção em baixa temperatura dos dielétricos high-k de Al2O3 e SiON de porta MOS, respectivamente. Na quarta parte, são apresentadas também, a fabricação e a caracterização elétrica de diodos n+p utilizando a tecnologia de recozimento a laser. O desenvolvimento de capacitores MOS e diodos possibilitou a fabricação (usando processos em baixa temperatura (?400oC)) de n- e p-MISFETs (Metal- Insulator-Semiconductor Field Effect Transistors), como também a fabricação de um protótipo de transistor MOS de alta velocidade baseado em silício germânio chamado D-DotFET (Disposable Dot Field Effect Transistor). Os processos alternativos desenvolvidos nesta tese apresentam um enorme potencial para aplicação nas próximas gerações de dispositivos CMOS (Complementary Metal Oxide Semiconductor) de dimensões sub-22 nm Abstract: Nowadays, to attend the needs of the fabrication of sensors, electronic devices and integrated circuits with dimensions of micro and nanometrics, new processes of reduced costs and thermal budgets are needed. This work presents the development of some of these alternative processes for this fabrication. This work is divided in four parts: the first part presents the synthesis and characterization of insulating films of silicon nitride for application in microsensors, such as pressure sensors. These films were deposited on Si substrates at low temperature (20°C) using an ECR-CVD (Electron Cyclotron Resonance - Chemical Vapor Deposition) plasma reactor. Normally, Low Pressure Chemical Vapor Deposition (LPCVD) or Plasma Enhanced CVD - (PECVD) reactors are used for this application with high temperature process higher than 600oC and 250oC, respectively. The characterization of ECR plasmas, which were used to get the silicon nitrides, and the fabrication of suspended membranes based on these nitrides are presented. The second part presents the fabrication and the characterization of p+-n silicon germanium (SiGe) diodes fabricated on SiGe layers, which were grown by LPCVD on Si substrate. The grown of SiGe layers by LPCVD is an alternative process to replace the high cost of epitaxial reactors. In the third part of this work is presented the development of low-temperature processes for application in diodes and MOS (Metal-Oxide-Semiconductor) technology. The fabrication at low temperature and electrical characterization of MOS capacitors, using technologies as: ALD (Atomic Layer Deposition) and ICP (Inductively Coupled Plasma) to get the Al2O3 and SiON high-k gate dielectrics of MOS capacitors are presented, respectively. In the four part, the fabrication and electrical characterization of n+-p diodes using the process of laser annealing are presented as well. The development of MOS capacitors and diodes have become feasible the fabrication (using processes at low temperature (? 400oC)) of n- and p-MISFETs (Metal- Insulator -Semiconductor Field Effect Transistors) and also the fabrication of a high speed MOS transistor prototype based on silicon germanium named D-DotFET (Disposable Dot Field Effect Transistor). In conclusion, the alternative processes developed in this thesis have shown to be a huge potential for application in next generations of CMOS (Complementary Metal Oxide Semiconductor) devices with sub- 22 nm dimensions Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
60. Analise, projeto e layout de uma topologia de circuito regulador de tensão para aplicação em microprocessadores
- Author
-
Zampronho Neto, Fernando, Swart, Jacobus Willibrordus, 1950, Lima Filho, Jader Alves de, Haddad, Sandro Augusto Pavik, Diniz, José Alexandre, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Eletrônica de potência ,Analog eletronic systems ,Sistemas eletrônicos analógicos ,Microelectronics ,Reguladores de voltagem ,Electronic converters ,Integrated circuits ,Voltage regulators ,Circuitos integrados ,Microeletrônica - Abstract
Orientadores: Jacobus Willibrordus Swart, Jader Alves de Lima Filho Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação Resumo: Este trabalho tem como objetivo o estudo de uma arquitetura de regulador de tensão do tipo multi-fase para alimentação de microprocessadores, os quais demandam pequena variação em sua tensão, mesmo face aos seus agressivos transitórios de corrente. O estudo engloba a análise, que descreve as vantagens e desvantagens de topologias de reguladores chaveados, o projeto, a simulação, a fabricação e a caracterização experimental do regulador. Na etapa de projeto, uma nova abordagem no dimensionamento do filtro externo LC é apresentada, considerando-se seus respectivos elementos parasitas, a partir da introdução do parâmetro .fator de não idealidade., ou n, que é compreendido no intervalo [0, 1]. Quanto mais n se aproxima da unidade, menores serão os elementos parasitas do filtro, facilitando a escolha dos capacitores e indutores no mercado. Adicionalmente, é proposta uma técnica de projeto do compensador em freqüência, aplicada em topologias realimentadas por tensão. Esta consiste na soma de sua tensão de saída com a diferença de potencial entre dois de seus nós internos, que ocorre apenas durante o transitório de carga, reduzindo o tempo de resposta do regulador. Simulações mostraram uma queda de mais de 25% na ondulação da tensão de carga utilizando esta técnica, em comparação com a solução convencional. O processo, simulador e modelos utilizados neste trabalho são, respectivamente, o AMS H35, PSPICE e Bsim3v3. O layout do regulador foi feito via Mentor Graphics e possui área efetiva de 0,444mm2. A fabricação na foundry AMS foi viabilizada pelo programa multi-usuário da FAPESP. A caracterização experimental compara o tempo de resposta do regulador nas mesmas condições da etapa de simulação. Resultados experimentais indicaram uma redução de 96,1% na ondulação da tensão de carga durante seu transitório de corrente utilizando a técnica proposta, em comparação a solução convencional, validando a nova técnica de projeto do compensador em freqüência. O presente trabalho é concluído enfatizando-se os objetivos alcançados e principais resultados experimentais obtidos, dificuldades de projeto e limitações da arquitetura do regulador chaveado estudada Abstract: This work aims to study the topology of multi-phase voltage regulators applied to microprocessors, where only tiny variations in the supply voltage are allowed, even when facing aggressive current transients. This study consists in the analysis, which describes the advantages and disadvantages of switched voltage regulator topologies, design, simulation, layout and experimental characterization of the proposed regulator. In the design phase, a new approach in sizing the external LC filter is herein described, considering their stray elements, through the introduction of the .non ideality. parameter, or n, which is valid within interval [0,1]. As more as n approaches unity, less parasitic elements the filter will have, easing the choice of the capacitors and inductors commercially available. In addition to this, a new technique applied to voltage feedback topologies is proposed, which consists in adding the output voltage of the frequency compensator to a voltage between two of its internal nodes. With such an approach, the response time of the regulator to load transients decreases. Simulation results show a reduction over 25% in the output voltage ripple using this new approach, when comparing to the traditional solution. The process, simulator and models used in this work are, respectively, AMS H35, PSPICE and Bsim 3v3. The layout of the regulator was edited through Mentor Graphics, and it has an effective area of 0.444mm2. The fabrication in foundry AMS was done by multi-user program of FAPESP. The experimental characterization compares the response time of the regulator in the same conditions of simulation phase. Experimental results indicated a 96,1% reduction in load voltage ripple during transient, when comparing the purposed technique with the traditional solution, validating the excellent performance of the regulator with the new design technique. This work is concluded by emphasizing the reached objectives and main experimental results reached, design difficulties and limitations of the switched-regulator architecture studied Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
61. Transistor de efeito de campo (FET) para detecção quimica e bioquimica utilizando dieletrico de porta constituido de camada empilhada SiNx/SiOxNy
- Author
-
Souza, Jair Fernandes de, Tatsch, Peter Jürgen, 1949, Diniz, José Alexandre, 1964, Machado, Waltair Vieira, Doi, Ioshiaki, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Field-effect transistor ,Transistores de efeito de campo ,Nitreto de silício ,Silicon mitride ,Silicium ,Chemical vapor deposition ,Silício ,Deposição química de vapor - Abstract
Orientadores: Peter Jurgen Tatsch, Jose Alexandre Diniz Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação Resumo: Esta dissertação consiste de duas etapas. Inicialmente são estudados filmes de nitreto de silício depositados por LPCVD, Low Pressure Chemical Vapor Deposition, utilizando-se diferentes relações de concentração de gases reagentes, [SiH2Cl2]/[NH3], e utilizando-se como substrato lâminas de silício tipo p, com e sem camada almofada de oxinitreto de silício; estruturas SiNx/Si e SiNx/SiOxNy/Si, respectivamente. Os filmes foram caracterizados física e eletricamente, bem como do ponto de vista da capacidade de adsorção de monocamadas biologicamente ativas. As características dos filmes foram comparadas, buscando-se identificar um filme cujas propriedades fossem adequadas para utilização como material dielétrico a ser empregado na porta de Transistores de Efeito de Campo química e bioquimicamente sensíveis. Os resultados da elipsometria realizada apontaram filmes com índices de refração variando de 1,875 a 1,990, indicando filmes ricos em nitrogênio, e com espessura diretamente proporcional à relação de concentração dos gases reagentes, ou seja, o aumento na relação de concentração de gases produz aumento na taxa de deposição dos filmes. A espectroscopia de absorção de infra-vermelho permitiu analisar as ligações químicas presentes nos filmes e nas monocamadas automontadas formadas pela imobilização de biomoléculas. Os espectros dos filmes apresentam picos de absorção em 827/837 cm-1 e 451/484 cm-1 que correspondem a ligações Si-N, confirmando a indicação da elipsometria referente à presença de nitrogênio. Após a formação das camadas automontadas, compostas de proteínas do tipo Imunoglobulina, IgG 2,5 e 5%, os espectros mostraram bandas de absorção de IR em torno de 3300 cm-1 e nas faixas de 1700 a 1600 cm-1 e 1600 a 1500 cm-1. Este espectro caracteriza a formação de grupos amida A, I e II, respectivamente, ou seja, a formação das monocamadas biologicamente ativas. Através de espectroscopia micro-Raman foram detectados deslocamentos nos picos principais do substrato de silício. Tais deslocamentos foram relacionados com o stress provocado pelos filmes depositados. Foram fabricados capacitores Metal/Isolante/Semicondutor, MIS, utilizando-se as estruturas dielétrico/semicondutor obtidas. Os capacitores possibilitaram realizar a caracterização elétrica dos filmes através de medidas C-V, capacitância-voltagem, de alta frequência de 1MHz, obtendo-se a densidade de cargas existente na interface dielétrico/semicondutor, em torno de 1011cm-2, e permitiram observar o comportamento da interface com a realização de etapas térmicas e a degradação em suas propriedades de recombinação. Após a fabricação e a caracterização das camadas dielétricas, foi iniciada a segunda etapa do trabalho com a fabricação de matrizes de Transistores de Efeito de Campo, FETs. Foi usado como dielétrico de porta os filmes da etapa anterior que apresentaram melhor desempenho do ponto de vista físico, elétrico, químico e biológico. A caracterização elétrica dos FETs foi realizada utilizando-se dispositivos de controle dispostos isoladamente nas pastilhas. Foram obtidas as características elétricas dos dispositivos e observado seu comportamento nas etapas térmicas. A sensibilidade química foi verificada aplicando-se analitos com diferentes concentrações de íons H+ , correspondente a diferentes valores de pH, na região de porta dos FETs. Foi demonstrada a viabilidade da utilização dos FETs fabricados na detecção química/bioquímica, com possibilidade de emprego em atividades de diagnóstico médico, controle ambiental, controle da produção de fármacos e cosméticos, e aplicações agropecuárias Abstract: This dissertation consists of two stages. Initially are studied Silicon Nitride films deposited by LPCVD (Low Pressure Chemical Vapor Deposition) using different relationship of reagent gases concentration ([SiH2Cl2] / [NH3]) and using as substratum Silicon wafers p-type with and without pad layer of Silicon Oxinitride - SiNx/Si and SiNx/SiOxNy /Si structures. The films were characterized physically and electrically as well as the point of view of adsorption capacity of biologically active monolayer. The films characteristics were compared, seeking to identify a film whose characteristics are adequate to be used as dielectric material applied at the project and fabrication of chemically and biochemically sensitive Field Effect Transistors - FETs. Ellipsometry results pointed films with refraction indexes ranging from 1,875 to 1,990, it indicating films rich in Nitrogen, and with thickness directly proportional to the relationship of reagent gases concentration. In the other words, the increase of the relationship of gases concentration produces an increase of the films deposition rates. The infra-red absorption spectroscopy allowed us to analyze the chemical bonds present in the dielectric films and in the self assembled monolayers formed by the immobilization of biological molecules. The films spectrum have absorption spike in 827/837 cm-I and 451/484 cm-I that correspond to Si-N bonds, confirming the indication of the ellipsometry regarding as nitrogen presence. After self assembled monolayers formation composed by proteins of the type Immunoglobulin - IgG 2.5 and 5%, the spectra showed absorption bands of IR, around 3300 cm-1 and in the ranges of 1700 to 1600 cm-1 and 1600 to 1500 cm-1, spectrum that characterizes the formation of amida groups A, I and II, respectively, in other words, the formation of biologically active monolayers. Through micro-Raman spectrometry were detected displacements in the main spikes of the Silicon substratum. This displacement has been related with the stress induced by the deposited films. It was manufactured Metal Insulating Semiconductor (MIS) capacitors, using the structures dielectric/semiconductor obtained. The capacitors made possible to accomplish the electric characterization of the films through high frequency (1 MHz) capacitance-voltage (C-V) measurements, obtained the density of charges existent on the interface dielectric/semiconductor - around 1011 cm-2; and to observe the behavior of the interface with the accomplishment of thermal stages and the degradation in its recombination properties. After production and characterization of the dielectric layers, has been accomplished the second stage of the work with the production of FETs, being used as dielectric gate the films that presented better performance of the point of view physical, electric, chemical and biological. The electric characterization of the FETs that compose the arrays, has been accomplished being used the control devices disposed separately in the dies allowing to raise the characteristics of the devices construction, as well as, the behavior of the same ones when submitted to thermal stages. The chemical sensibility was verified being applied analytes with different H+ ions concentrations - different pH values - in the gate area of the FETs that compose the arrays. The viability of use of the modified FETs for chemistry/biochemistry detection was demonstrated, with employment possibility in activities of medical diagnosis, environmental control, control of the production of drugs and cosmetics and agricultural applications. Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
62. Microbolometros resistivos em membrana suspensa
- Author
-
Della Lucia, Felipe Lorenzo, 1984, Swart, Jacobus Willibrordus, 1950, Fillho, Antonio Carneiro de Mesquita, Diniz, José Alexandre, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Radiação infravermelha ,Semiconductors ,Semicondutores ,Microfabrication ,Bolometers ,Microbolometers ,Infrared ,Medidas eletromagnéticas ,Microeletrônica - Abstract
Orientador: Jacobus Willibrordus Swart Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação Resumo: Este trabalho tem como objetivo desenvolver a tecnologia de microbolômetros resistivos que serão utilizados como sensores de infravermelho. Para isso, foi realizado um projeto inicial de dispositivo constituído de cálculos matemáticos e simulações computacionais a fim de prever o comportamento do dispositivo e ajustar parâmetros de processo de fabricação de modo a aperfeiçoar seu desempenho. De posse dos dados do projeto, foi realizada a fabricação. Foram fabricados microbolômetros resistivos de Silício Policristalino (Si-poli) em membranas suspensas para aumentar a isolação térmica do material resistivo. Ouro Negro (poroso) foi evaporado de forma a funcionar como camada absorvedora de radiação infravermelha. Eventuais problemas ocorridos durante a fabricação foram relatados, sanados e realimentados ao processo de fabricação de forma a simplificar e aperfeiçoar ao máximo a fabricação. Imagens realizadas por microscópio eletrônico de varredura e cortes realizados utilizando Feixe de Íons Focalizado mostram os detalhes da fabricação, indicando os materiais utilizados e a forma na qual a membrana de Si-poli está isolada do substrato. As medidas realizadas nos dispositivos fabricados revelam uma responsividade de 1,8 V/W, TCR de -0,95%/K, tempo de resposta de 13 ms e detectividade de 5,66.105 cm.Hz1/2.W-1. Apesar de algumas destas características não se encontrarem dentro dos parâmetros projetado, outras se assemelham às características de dispositivos comerciais e publicados na literatura. Como resultado deste trabalho, um ambiente favorável foi preparado para o desenvolvimento deste tipo de dispositivo. Matrizes de dispositivos poderão também ser desenvolvidas de forma a produzirem imagens em infravermelho que poderão ser utilizadas em diversas aplicações diferentes. Abstract: This work has as a main goal to develop the resistive microbolometers technology that will be used as infrared sensors. In order to do so, an initial device design was performed using mathematical calculations and computational simulations were accomplished to predict the behavior and adjust the fabrication process parameters of this device to improve its performance. After the simulations, the fabrication was performed. Using Polysilicon as active element of the resistive microbolometers, suspended membranes were fabricated to enhance thermal isolation. Gold Black (porous) was evaporated to work as an infrared radiation absorber. Some problems that occurred during the fabrication were reported, solved and provided feedback to simplify and improve the fabrication. Some Scanning Electron Microscopy images and cuts using Focused Ion Beam show some fabrication details, indicating the materials used and how the Polysilicon membrane is isolated from the substrate. The measurements performed in the fabricated device show that the responsivity is about 1.8 V/W, the TCR is about -0.95%/K, the response time is 13 ms and the specific detectivity is 5,66.105 cm.Hz1/2.W-1. Although some of these characteristics are not within the designed parameters, others are similar to the characteristics of commercial devices and devices found in literature. As a result of this work, a favorable environment was prepared to the development of this kind of device. Arrays of devices can also be developed in order to produce infrared images which may be used in many different applications. Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
63. Caracterização de filmes finos de oxido de titanio obtidos atraves de RTP par aplicação em ISFETs
- Author
-
Barros, Angélica Denardi de, 1982, Diniz, José Alexandre, 1964, Albertin, Katia Frankilin, Tatsch, Peter Jürgen, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
RTP ,Ph sensor ,Titanium oxide ,Ôxido de titânio ,Filmes finos ,Thin film ,ISFET ,Detectores - Abstract
Orientador: Jose Alexandre Diniz Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e Computação Resumo: O presente trabalho tem como objetivo a caracterização de filmes finos de óxido de titânio para aplicação em transistores de efeito de campo sensíveis a íons, do inglês ion sensitive field effect transistors (ISFETs). Para isso, filmes finos de titânio de diferentes espessuras foram depositados através de uma evaporadora por feixe de elétrons sobre substrato de silício. Posteriormente, estes filmes foram oxidados e recozidos utilizando diferentes temperaturas através de um forno de processamento térmico rápido, do inglês rapid thermal process (RTP). Os filmes de óxido de titânio (TiOx) foram então caracterizados de forma estrutural através das técnicas Elipsometria, Espectroscopia Infravermelho, Espectroscopia RAMAN, Microscopia de Força Atômica e Espectroscopia de Retroespalhamento Rutheford. Dependendo da temperatura do patamar de tratamento térmico, foram obtidos filmes com diferentes concentrações de oxigênio, o que influenciou na espessura final, no índice de refração, na rugosidade da superfície e nos contornos de grão da superfície dos filmes. Através da caracterização estrutural foi possível verificar a formação de filmes de TiOx compostos principalmente da estrutura cristalina rutilo do TiO2, mas que também apresentaram a estrutura cristalina anatase, além da formação de uma fina camada de Ti2O3 e SiO2 entre o substrato de silício e o filme de TiOx. A caracterização elétrica realizada através da análise das curvas I-V e C-V, obtidas a partir de capacitores confeccionados através da deposição de eletrodos de aluminio (Al/Si/TiOx/Al), demostraram a obtenção de dielétricos de boa qualidade com valores de constante dielétrica entre 12 e 33, densidade de carga na interface da ordem de 1010/cm2 e densidade de corrente de fuga entre 1 e 10-4 A/cm2. Transistores de efeito de campo foram confeccionados para a obtenção de curvas IDxVDS e log IDxTensão. Foi encontrado valor de tensão de Early igual a -1629V, resistência de saída, ROUT, igual a 215MO e slope de 100mV/dec para o dielétrico de TiOx obtido com tratamento térmico em 960°C. O filme de TiOx tratado térmicamente em 600°C foi testado como sensor através de medidas C-V adaptadas e apresentou deslocamento da VFB em função da variação do pH da solução testada, apresentando potencial como sensor de pH. Abstract: This work presents the characterization of thin titanium oxide films as potential dielectric to be applied in ion sensitive field effect transistors. The films were obtained through rapid thermal oxidation and annealing of titanium thin films of different thicknesses deposited by Ebeam evaporation on silicon wafers. These films were analyzed by Ellipsometry, Infrared Spectroscopy, Raman Spectroscopy, Atomic Force Microscopy and Rutherford Backscattering Spectroscopy. The final thicknesses of the thin films, roughness, surface grain countors, refractive indexes and oxigen concentration depend on the oxidation and annealing temperature. Structural characterization showed the presence of other oxides such Ti2O3, an interfacial SiO2 layer between the dielectric and the substrate and the anatase crystalline phase of TiO2 films besides the mainly found crystalline phase rutile. Electrical characterizations were obtained through I-V and C-V curves of Al/Si/TiOx/Al capacitors. These curves showed that the films had high dielectric constants between 12 and 33, interface charge density about 1010/cm2 and leakage current density about 1 and 10-4 A/cm2. Field effect transistors were made in order to analyze IDxVDS and log IDxBias curves. Early tension value of -1629V, ROUT value of 215MO and slope of 100mV/dec were calculated for the TiOx thin film thermally treated with 960°C. The TiOx thin film thermally treated with 600°C was successfully tested as pH sensor through adapted C-V measurements, which showed shifts in the VFB according to the H+ concentration in tested solutions. Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
64. Desenvolvimento de células biofotovoltaicas por meio de deposição de filme do fotossistema 1 em superfície texturizada de silício
- Author
-
Facchini, Carlos Felipe Rezende, 1982, Manêra, Leandro Tiago, 1977, Ribeiro, Rafael Vasconcelos, Diniz, José Alexandre, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Renewable energy ,Silicon ,Células ,Cells ,Silício ,Energia renovável - Abstract
Orientador: Leandro Tiago Manera Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Neste trabalho foi desenvolvido um processo de fabricação de células biofotovoltaicas baseadas em um filme composto por um complexo proteico, localizado nas membranas das tilacóides de cloroplastos, encontrados nas células das folhas de organismos que realizam fotossíntese. Estes complexos, conhecidos como fotossistema 1, operam como um fotodiodo para o transporte de elétrons pela membrana tilacóide e possuem uma notável eficiência quântica, próxima de 100%. Este filme foi depositado em lâminas de silício monocristalino do tipo P, que passaram por processos de texturização para formação de micro pirâmides que resultaram na diminuição da reflexão de luz e no aumento de sua área superficial, com implantação de fósforo e posterior recozimento, para a formação da região n+ e deposição de contatos elétricos de alumínio. Este estudo experimental objetivou ser referência na melhoria do processo de extração e purificação do fotossistema 1; buscou o aperfeiçoamento do processo de deposição do filme composto por este complexo proteico na superfície das células fotovoltaicas fabricadas em lâminas de Si; e analisou a integração deste filme com as superfícies polidas e texturizadas do dispositivo fabricado. A pesquisa foi desenvolvida no Centro de Componentes Semicondutores e no Departamento de Biologia Vegetal do Instituto de Biologia, ambos da Unicamp. Os resultados obtidos demonstraram a viabilidade do fotossistema 1, em células biofotovoltaicas, uma vez que as obtenções das curvas I-V mostraram que as mudanças nos processos de deposição e na geometria da superfície aumentaram a fotocorrente produzida neste dispositivo. A camada dupla de fotossistema 1 foi capaz de produzir 85,40mA na superfície texturizada, e valores menores na lâmina polida, da ordem de 21,11mA, o que mostra a eficácia do processo de texturização. A obtenção de uma solução com maior número de proteínas fotoativas e um elevado grau de pureza foi confirmada por técnica de eletroforese, cromatografia e microscopia óptica e eletrônica. Aperfeiçoamentos no processo de deposição foram observados por microscopia, que levaram a filmes mais homogêneos, com espessura média de 102'eta'm para monocamada e 201'eta'm para dupla camada, resultando em maior capacidade de produção de fotocorrentes com o aumento da espessura do filme. A validação de todo o processo de extração, purificação, deposição e análise do funcionamento de uma célula biofotovoltaica, baseada neste filme proteico, demonstra que o fotossitema 1 é um recurso natural valioso, abundante e que possui grande potencial para sua aplicação em células biofotovoltaicas. Embora este projeto tenha alcançado um expressivo progresso em termos da produção de fotocorrentes e qualidade do filme, os aumentos da potência máxima de saída e da eficiência de fotoconversão devem ser substancialmente empreendidos para a construção de células biofotovoltaicas baseadas no fotossistema 1, uma vez que tais sistemas certamente seriam competitivos com as tecnologias fotovoltaicas atuais em termos de eficiência e sustentabilidade Abstract: In this work, a process was developed for the production of biophotovoltaic cells based on a film composed of a protein complex located in the thylakoids membranes of chloroplasts found inside the leaf cells of photosynthetic organisms. These complexes known as photosystem 1 operate as a photodiode for the transport of electrons through the thylakoid membrane and have remarkable quantum efficiency, close to 100%. This film was deposited on P type monocrystalline silicon wafers, underwent texturization processes to form micro pyramids that resulted in the reduction of light reflection and the increase of its surface area, with implantation of phosphorus and subsequent annealing for the formation of the n+ region and deposition of aluminum electrical contacts. This experimental study aimed to improve the process of extraction and purification of photosystem 1; it sought the improvement of the deposition process of the film composed of this protein complex on the surface of the photovoltaic cells manufactured in Si wafer; and analyzed the integration of this film with the polished and textured surfaces of the fabricated device. The research was developed in the Center for Semiconductor Components and in the Department of Plant Biology of the Institute of Biology, both of Unicamp. The results obtained demonstrated the viability of photosystem 1 in biophotovoltaic cells, since the results of the I-V curves showed that changes in deposition processes and surface geometry increased the photocurrent produced in this device. The double layer of photosystem 1 was able to produce 85.40mA on texturized surface and smaller values in the polished surface, generating 21.11mA, which shows the effectiveness of the texturing process. The obtaining of a solution with higher number of photoactive proteins and a high degree of purity was confirmed by technique of electrophoresis, chromatography and optical and electron microscopy. Improvements in the deposition process were observed by microscopy, which led to more homogeneous films, with a mean thickness of 102'eta'm for monolayer and 201'eta'm for double layer, resulting in a higher capacity of photocurrent production with an increase in film thickness. The validation of the entire process of extraction, purification, deposition and analysis of the functioning of a biophotovoltaic cell, based on this protein film, shows that photosystem 1 is a valuable, abundant natural resource with great potential for its application in biophotovoltaic cells. Although this project has made significant progress in terms of photocurrent production and film quality, increases in maximum output power and photoconversion efficiency should be substantially undertaken for the construction of photosynthetic 1-based biophotovoltaic cells, since such systems would certainly be competitive with today's photovoltaic technologies in terms of efficiency and sustainability Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica CAPES
- Published
- 2021
- Full Text
- View/download PDF
65. Sensor de carga tipo FET para medidas em meios líquidos
- Author
-
Casagrande, Paula Simões, 1991, Soares, David Mendez, 1952, Diniz, José Alexandre, Frateschi, Newton Cesário, Universidade Estadual de Campinas. Instituto de Física Gleb Wataghin, Programa de Pós-Graduação em Física, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Biossensores ,Biosensors ,Microfabricação ,Semiconductors ,Semicondutores ,Microfabrication - Abstract
Orientador: David Mendez Soares Dissertação (mestrado) - Universidade Estadual de Campinas, Instituto de Física Gleb Wataghin Resumo: Neste trabalho foram desenvolvidos e caracterizados transistores de efeito de campo sensíveis a íons (Ion Sensitive Field Effect Transistor ¿ ISFET) com dois óxidos de porta distintos, óxido de silício (SiO2) e óxido de titânio sobre óxido de silício (TiO2/SiO2). Estes sensores foram fabricados utilizando tecnologia de micro fabricação de silício. A caracterização elétrica destes dispositivos foi realizada para MOSFETs de caracterização analisando-se as curvas de diodo, curvas de capacitância, a tensão de limiar e a transcondutância dos dispositivos fabricados. Para as amostras de SiO2 os valores típicos obtidos foram 6,4±0,1 pF para a capacitância máxima, 1,3±0,1 V para a tensão de limiar e 3,5±0,1 µS para a transcondutância, e para as amostras de TiO2/SiO2 foram 64±1 pF, -2,0±0,1 V e 10,5±0,1 µS, respectivamente. Os ISFETs foram testados em meios líquidos utilizando-se soluções tampão. Os ISFETs de SiO2 apresentaram pouca sensibilidade à variação de pH e os ISFETs de TiO2/SiO2 apresentaram baixa sensibilidade para pH baixo e maior sensibilidade para pH alto. Foi desenvolvido um sistema para medidas eletroquímicas utilizando os sensores em solução Abstract: In this work were developed and characterized Ion Sensitive Field Effect Transistors (ISFET), with two different gate oxides: silicon oxide (SiO2) and titanium oxide on silicon dioxide (TiO2/SiO2). These sensors were manufactured using silicon micro fabrication technology. The electrical characterization of these devices has been done on MOSFETs, analyzing the diode curves, the capacitance curves, the threshold voltage and the transconductance of the manufactured devices. For the SiO2 sample typical values were 6.4 ± 0.1 pF for maximum capacitance, 1.3 ± 0.1 V for threshold voltage and 3.5 ± 0.1 uS for maximum transconductance and for the TiO2/SiO2 samples were 64 ± 1 pF, -2.0 ± 0.1 V and 10.5 ± 0.1 ?S, respectively. The ISFETs were tested in liquid using buffer solutions. The ISFETs with SiO2 showed small sensitivity to pH variation, and the ones of with TiO2/SiO2 showed low sensitivity to low pH and higher sensitivity to high pH. A system was developed for electrochemical measurements using the sensors in solution Mestrado Física Mestra em Física CNPQ 132989/2014-0
- Published
- 2021
- Full Text
- View/download PDF
66. Fabricação de matrizes multieletrodos de 60 canais para futuro uso em culturas de células neurais
- Author
-
Gomes, Vanessa Pereira, 1989, Swart, Jacobus Willibrordus, 1950, Barros, Angélica Denardi de, 1982, Destro Filho, João Batista, Diniz, José Alexandre, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Neurons ,Neurônios ,Microeletrodos ,Microfabricação ,Electrical stimulation ,Estimulação elétrica ,Microfabrication ,Microelectrodes - Abstract
Orientadores: Jacobus Willibrordus Swart, Angelica Denardi de Barros Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Uma área que está em crescente desenvolvimento reside no campo da neurociência básica, a estimulação e gravação das células nervosas. A possibilidade da aplicação da microtecnologia e os avanços nas ciências de materiais têm permitido gerar dispositivos cada vez mais sofisticados e com maiores funcionalidades. Neste cenário surgem as matrizes multieletrodos (MEAs), dispositivos que atuam como interface cérebro-computador, no qual a rede de microeletrodos faz a comunicação mundo eletrônico ¿ células (que geram o potencial de ação), têm atraído atenção. Com base nisso, este projeto tem como objetivo construir uma MEA de 60 canais para uso (registro e estimulação extracelular in vitro) em culturas de células neurais. Para tanto, inicialmente, foi produzido um conjunto de máscaras, que contêm os padrões utilizados na obtenção dos dispositivos através da técnica de fotolitografia. Na sequência, o procedimento experimental mostra as etapas de microfabricação de dois protótipos de teste denominados MEA1 e MEA2. Apresentamos as etapas de formação da intercamada, e de fotolitografia para formação dos eletrodos, trilhas, pads de contato e isolação, e a finalização do protótipo (colocação do anel de vidro). Assim, com o dispositivo concluído, foi realizada a caracterização do mesmo. Dentre os testes empregados estão: (1) caracterização das amostras, através de microscopia óptica e microscopia de força atômica; (2) Análise do nível de ruído nos eletrodos, por meio da amplitude dos potenciais elétricos registrados com a adição de uma solução salina padrão e verificação do valor RMS do sinal; (3) Teste de estimulação elétrica; e, (4) Teste dos eletrodos (Voltametria Cíclica e Espectroscopia de Impedância). O teste de ruído resultou em eletrodos da MEA1 com bons resultados, exibindo níveis compatíveis com o padrão da MultiChannel Systems. A MEA2 apresentou resultados semelhantes aos da MEA1. Para todos os testes, as amplitudes medidas foram de 10-20µVp-p. Quando o teste de estimulação foi executado, aplicando sinal com amplitude de 0,5mVp-p que simula o sinal obtido em um eletrocardiograma convencional, os mesmos eletrodos que apresentaram boa resposta no teste de ruído também exibiram bons resultados, mostrando um sinal muito próximo ao eletrocardiograma injetado pelo gerador de funções, porém com amplitude menor igual a 0,33mVp-p. Com relação ao teste de Voltametria Cíclica, as curvas resultantes das MEAs produzidas apresentaram formas semelhantes à curva da MEA da MCS, mas com densidade de corrente superior, com uma ordem de grandeza de diferença, e isto foi confirmado calculando os valores de Capacidade de Armazenamento de Carga. As MEAs 1 e 2 exibiram 0,63mC.cm-2 e 0,64mC.cm-2, enquanto a MEA comercial, 0,05 mC.cm-2, com janela de potencial de -1V a +1V. Por fim, o teste de Espectroscopia de Impedância mostrou que os resultados dos eletrodos que funcionam estão muito próximos àqueles encontrados para a Espectroscopia de Impedância na MEA da MCS, dado que as MEAs 1 e 2 apresentaram impedância a 1kHz de ~41k? e ~142 k?, respectivamente. Desta forma, a dissertação apresenta com êxito a implementação desde o desenvolvimento da máscara e das etapas de processo de microlitografia até a obtenção e teste de MEAs com microeletrodos funcionais Abstract: An area that is in increasing development lies in the field of basic neuroscience, stimulation and recording of nerve cells. Possibility of the application of microtechnology and advances in material sciences have allowed to produce more sophisticated devices and with greater functionality. In this scenario the Multielectrode Array (MEA), which is a device that act as a brain-computer interface, where the microelectrodes network makes the communication electronic world ¿ cells (that generate an action potential), has attracted attention. Based on this, this project focuses on the fabrication of 60-channel MEAs for future use (in vitro recording and stimulation) with cultured neuronal networks. Therefore, initially, it was produced a set of masks that contain the patterns used in the confection of devices by photolithography technique. Next experimental procedure shows the microfabrication steps of two test prototypes called MEA1 and MEA2. We present the steps to form the interlayer, and photolithography steps for electrodes, tracks, contact pads and passivation fabrication, and finalization of the prototype with the placement of a glass ring. Thus, with the finished device, characterization thereof was performed. Among the tests used are: (1) sample characterization by optical microscopy and atomic force microscopy; (2) Noise analysis on the electrodes by the amplitude of the recorded electrical potentials with the addition of saline and scan of the RMS value of the signal; (3) electrical stimulation test; and (4) Electrodes test (Cyclic voltammetry and Impedance Spectroscopy). Noise test resulted in electrodes from MEA1 with good results, showing compatible levels with standard MultiChannel Systems (MCS). MEA2 showed similar results to the MEA1. For all tests, measured amplitudes were 10-20µVp-p. When stimulation test was performed, applying signal with amplitude of 0,5mVp-p that simulates obtained signal in a conventional electrocardiogram, same electrodes that responded favorably in noise test also showed good results, showing a very close signal to the injected electrocardiogram by the function generator, but with lower amplitude equal to 0,33mVp-p. Regarding the Cyclic Voltammetry test, resulting curves of produced MEAs showed similar forms to the curve of MEA from MCS, but with higher current density, about a difference of an order of magnitude, and this was confirmed with Charge Storage Capacity values. MEAs 1 and 2 showed 0,63 mC.cm-2 e 0,64 mC.cm-2, while commercial MEA, 0,05 mC.cm-2, with potential window of -1V to +1V. Finally, Impedance Spectroscopy test showed that the results of the electrodes which work are very close to those found for Impedance Spectroscopy MEA from MCS, since MEAs 1 and 2 showed impedance at 1kHz of ~41k? and ~142k?, respectively. Therefore, this master thesis presents successfully the implementation of MEAs, from the development of the mask; the microlithography processes steps to obtain MEAs to the performed tests with functional microelectrodes Agência de fomento: Agência de fomento: Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestra em Engenharia Elétrica CAPES
- Published
- 2021
- Full Text
- View/download PDF
67. Sistema para corrosão anisotropica profunda de sensores microeletromecanicos
- Author
-
Oliveira, Rafael Vitor Degani de, Fruett, Fabiano, 1970, Panepucci, Roberto Ricardo, Diniz, José Alexandre, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Corrosion ,Microelectronics ,Corrosão ,Sensors ,Integrated circuits ,Circuitos integrados ,Detectores ,Microeletrônica - Abstract
Orientador: Fabiano Fruett Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação Resumo: Sensores e Sistemas Microeletromecânicos (MEMS), integrados em silício, evoluem e se desenvolvem impulsionados principalmente pelo amadurecimento e vigor da indústria micro e nano eletrônica. MEMS estão se disseminando rapidamente em produtos comerciais, tendo, como exemplo, espaço garantido em automóveis onde sensores de pressão e acelerômetros se tornam cada vez mais necessários. Neste trabalho, desenvolvemos e caracterizamos um sistema reator para corrosão úmida anisotrópica em silício capaz de realizar corrosões profundas (>200µm). Este reator possibilita a microfabricação de membranas (sensores de pressão) e cantilevers (acelerômetros) em circuitos integrados e wafers com eletrônica pré-existente. Fatores como qualidade e repetibilidade da corrosão e compatibilidade com o processo de microeletrônica foram estudados. Algumas microestruturas de interesse foram fabricadas e comparadas com resultados obtidos através de um simulador de corrosão anisotrópico em 2D. Concluímos que, devido à boa repetibilidade na taxa de corrosão, um bom controle das estruturas pode ser obtido simplesmente pelo método de parada por tempo. Por fim, o reator foi utilizado para a microfabricação de uma membrana na parte de traz de um wafer com eletrônica ativa, resultando em sensores de pressão microeletrônicos projetados, fabricados e caracterizados com tecnologia nacional Abstract: Integrated Silicon Sensors and Microelectromechanical Systems (MEMSs) have been developing drived mainly by the micro and nanoelectronic industry's continuous growth. As a result, MEMSs have been spreading out very quickly into commercial products. In fact, MEMSs have undertaken room in automotive market where pressure sensors as well as accelerometers have more and more become essential devices. In this work we developed and characterized a Silicon Anisotropic Wet Etching Reactor, which is capable to realize deep etchings (>200um). This reactor makes possible the microfabrication of membranes (for pressure sensors mainly) and cantilevers (for accelerometers mainly) in integrated circuits and in wafers with a predefined active electronic. We investigated factors such as quality and repeatability of the etching as well as its compatibility with the microelectronic process. To support our study, microstructres were fabricated and compared with the results acquired from a 2D Anisotropic Etching Simulation software. We concluded that due to the good repeatability of the etching rate a precise control of the microstructures can be realized by just using the time-stop method. To close our study, the reactor was used to microfabricate a membrane in the back-side of a wafer with active electronic in the front-side using KOH as etchant in order to realize a pressure sensor. As a result, a microelectronic pressure sensor was entirely designed, fabricated and characterized using national technology Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
68. Desenvolvimento de processos de eletrodos de porta (TaN e TiN) para dispositivos MOS
- Author
-
Lima, Lucas Petersen Barbosa, 1986, Diniz, José Alexandre, 1964, Pavanello, Marcelo Antonio, Doi, Ioshiaki, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Capacitadores ,Microelectronics ,Semiconductor devices ,Dispositivos semicondutores ,Schottky barrier diodes ,Capacitors ,Microeletrônica ,Schottky, Diodos de barreira de - Abstract
Orientador: José Alexandre Diniz Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Filmes de nitreto de titânio (TiN) e nitreto de tântalo (TaN) foram depositados sobre substratos de Si (100) utilizando um sistema de sputtering reativo, com diferentes fluxos de N2 (10-80 sccm) e potência (500-1500W), em ambiente de N2/Ar. Foram analisadas as influências da mistura gasosa N2/Ar e potência nas propriedades estruturais e elétricas dos filmes de TiN e TaN, utilizando as técnicas de perfilometria, microscopia de força atômica, 4 pontas, espectroscopia Raman, difração de raios-x e espectroscopia de fotoelétron. As análises físicas e elétricas dos filmes de TiN e TaN demonstram que os filmes são policristalinos, com as orientações preferenciais (311)-( 111) e (200)-( 111), respectivamente. Os valores das taxas de deposições, resistividades elétricas e tamanho de grão para os filmes de TiN e TaN estão entre 4 e 78 nm/min, 150 e 7500 ??.cm e 0,001 e 0,027 ?m2, respectivamente. Foram fabricados capacitores MOS e diodos Schottky com eletrodos superiores de TiN e TaN com dielétricos de SiOxNy ou SiO2, e extraídas curvas CV e IV destes dispositivos, para extração de parâmetros como tensão de flatband (VFB), densidade de carga efetiva (Q0/q) e função trabalho do eletrodo superior (WF). As curvas CV dos capacitores MOS com dielétrico de SiOxNy e eletrodo superior de TiN apresentaram valores extraídos de Q0/q, VFB e WF de 1010 cm2, 0,29 V e 4,65 eV, respectivamente, que são compatíveis com a tecnologia CMOS. As curvas CV dos capacitores MOS com dielétrico de SiOxNy e eletrodo superior de TaN apresentaram valores extraídos de Q0/q, VFB e WF de 1010 cm2, 1,36 V e 3,81 eV, respectivamente, que não são compatíveis com a tecnologia CMOS. As curvas CV dos capacitores MOS com dielétrico de SiO2 e eletrodo superior de TiN apresentaram valores extraídos de Q0/q, VFB e WF de 1010 e 1012 cm2, de 0,12 V e 0,36 V, e, 4,15 eV e 4,43 eV, respectivamente, que são compatíveis com a tecnologia CMOS. As curvas CV dos capacitores MOS com dielétrico de SiO2 e eletrodo superior de TaN apresentaram valores extraídos de Q0/q, VFB e WF de 1010 e 1012 cm2, 0,29 V e 0,20 V, e, 4,41 eV e 4,44 eV, respectivamente, que são compatíveis com a tecnologia CMOS. Estes resultados indicam que os filmes de TiN e TaN são compatíveis para serem utilizados em dispositivos da tecnologia MOS Abstract: Tantalum nitride (TaN) and titanium nitride (TiN) films have been obtained by DC sputtering, using different nitrogen flow (10 - 80 sccm) and power (500 - 1500 W), in a nitrogen (N2)/argon (Ar) ambient on Si (100) substrates. The N2/Ar ratio in gas mixture and power effects on structural and electrical properties of TaN and TiN films were investigated by scan profiler (film thickness and deposition rate), atomic force microscopy (rms roughness and grain size), fourprobe technique (electrical resistivity), Raman spectroscopy, x-ray diffraction (crystal orientation) and X-ray photoelectron spectroscopy (film composition). The physical and structural analyses of TiN and TaN films show that TiN and TaN films were polycrystalline, with (311)-( 111) and (200)-( 111) preferred orientation, respectively. The deposition rates, electrical resistivities and grain size values of TiN and TaN films were between 4 and 78 nm/min, 150 and 7500 ??.cm and 0,001-0,027 ?m2, respectively. MOS capacitors and Schottky diodes were fabricated with TiN and TaN as upper electrodes and dielectrics with SiOxNy or SiO2. CV and IV measurements were carried out on these devices and flatband voltage (VFB), effective charge density (Q0/q) and metal gate work function (WF) were extracted from these measurements. The extracted values of Q0/q, VFB e WF 1010 cm2, 0,29 V e 4,65 eV, and these values were extracted from CV curves of MOS capacitors with TiN as gate electrode and SiOxNy as gate dielectric. The extracted values of Q0/q, VFB e WF 1010 cm2, 1,36 V e 3,81 eV, and these values were extracted from CV curves of MOS capacitors with TiN as gate electrode and SiOxNy as gate dielectric. The extracted values of Q0/q, VFB and WF were about 1010 and 1012 cm2, 0,12 V and 0,36V, and 4,15 eV and 4,43 eV, and these values were extracted from CV curves of MOS capacitors with TiN as gate electrode and SiO2 as gate dielectric. The extracted values of Q0/q, VFB and WF were about 1010 and 1012 cm2, 0,29 V and 0,20V, and 4,41 eV and 4,44 eV, and these values were extracted from CV curves of MOS capacitors with TaN as gate electrode and SiO2 as gate dielectric. These extracted values for VFB and WF indicates that the TiN and TaN films are suitable for MOS technology Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica
- Published
- 2021
- Full Text
- View/download PDF
69. Materials and processes for silicon photovoltaic cells application
- Author
-
Alvarez, Hugo da Silva, 1989, Diniz, José Alexandre, 1964, Marques, Francisco das Chagas, 1957, Côrtes, Andresa Deoclidia Soares, Teixeira, Ricardo Cotrin, Swart, Jacobus Willibrordus, Manêra, Leandro Tiago, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Silício amorfo ,Plasma etching ,Células fotovoltaicas ,Filmes finos ,Photovoltaic cells ,Thin films ,Microestructures ,Amorphous silicon ,Anti-reflective coating ,Espectrofotometria ,Camada antirrefletora ,Espectofotometry ,Microestrutura - Abstract
Orientadores: José Alexandre Diniz, Francisco das Chagas Marques Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Para a aplicação em células fotovoltaicas baseadas em silício monocristalino (c-Si), esta tese tem como principal objetivo os desenvolvimentos de MATERIAIS – filmes finos de TiO2, Al2O3 e Ta2O3, SiNx, a-Si:H e Al – e de PROCESSOS – deposições por sputtering reativo ou por ECR-CVD, corrosões de silício, úmida, usando solução de NH4OH, ou seca, com sistema de plasma ICP-RIE. Para isto: i) analisou-se a redução da refletância total da superfície do silício (?RTotal), com a respectiva deposição por sputtering reativo ou ECR-CVD dos filmes finos de óxidos (TiO2, Al2O3, Ta2O3) e de nitreto (SiNx), ambos em temperatura ambiente, para uso como camadas antirrefletoras (ARC) e aumento da eficiência da célula; ii) corroeu-se a superfície do c-Si, utilizando uma solução alcalina de NH4OH (CMOS compatível e de baixo custo), obtendo-se estruturas periódicas de canais V-Groove, pirâmides verticais e invertidas. Visando o maior aprisionamento da luz incidente sobre o dispositivo, e consequente aumento de suas eficiências; iii) corroeu-se um substrato de Si para obtenção de microcanais (SiMCs) com 200 µm de profundidade, em um sistema ICP-RIE, com mistura de gases SF6 e Ar. Estes SiMCs serão futuramente utilizados como trocadores de calor na parte inferior das células, possibilitando também o aumento de sua eficiência com a passagem de um líquido refrigerante. Como um tempo muito longo, da ordem de horas, é necessário para a obtenção dos SiMCs com a profundidade desejada, se vê necessário o uso de máscaras físicas (HM) resistentes à remoção pelo plasma. Para isto, elaborou-se padrões de linhas de HM de Al – transferidos por litografia e deposição térmica para a superfície do c-Si – com posteriores tratamentos de nitretação por plasma e/ou recozimento térmico. De modo a melhorar a resistência ao bombardeamento iônico, proveniente do plasma ICP-RIE, durante o processo; iv) por fim, fabricaram-se dois tipos de células fotovoltaicas. A primeira de homojunção, com diferentes formatos de SiMCs nas suas costas e difusão térmica de fósforo como camada emissora. A segunda, de heterojunção, com camada emissora de a-Si:H depositado no ECR-CVD, difundida com Al (Al-Si) com e sem SiO2 como isolamento lateral. Como melhores resultados: i) dos filmes analisados para possível uso como ARC, foram obtidos valores de ?RTotal entre -51,7 % e -58,6 %; ii) com a texturização da superfície do silício, alcançou-se valores de refletância entre -51,6 % e -57,6 %, com alturas ou profundidades das estruturas periódicas variando entre 5,7 µm e 6,9 µm; iii) da mesma forma, para a corrosão dos SiMCs, estabeleceram-se as taxas de corrosão do c-Si de 1,08 µm/min e das HMs de Al entre 17 e 12 nm/min; iv) das células de homojunção fabricadas, obtiveram-se eficiências máximas entre 2,0 % e 7,97 %, após a corrosão dos SiMCs; v) para as de heterojunção, este valor foi de 1,2 % para a primeira série com estrutura c-Si/Al-Si/SiNx, sem o SiO2 como isolação lateral. Enquanto a segunda série, com estrutura c-Si/Al-Si e isolamento lateral de SiO2, obteve uma eficiência máxima de 0,98 % Abstract: For application in photovoltaic cells based on monocrystalline silicon (c-Si), this thesis has as main objective the development of MATERIALS – thin films of TiO2, Al2O3 and Ta2O3, SiNx, a-Si:H and Al – and PROCESSES – depositions by reactive sputtering or by ECR-CVD, silicon etchings, wet, using NH4OH solution, or dry, with ICP-RIE plasma system. For this: i) it was analyzed the reduction of the total reflectance of the silicon surface (?RTotal), with the deposition of thin oxides (TiO2, Al2O3, Ta2O3) and nitride (SiNx) films at room temperature, respectively by reactive sputtering or ECR-CVD, for the increasing of the solar cell efficiency. ii) the etching of the c-Si surface using NH4OH, a low-cost and CMOS compatible alkaline solution, to obtain the periodic structures of V-Groove channels, vertical and inverted pyramids. Aiming to increase trapping of the incident light on the device, and consequent increase in its efficiencies; iii) the etching of the Si substrate to obtain microchannels (SiMCs) with 200 µm depth, in an ICP-RIE system, with a gas mixture of SF6 and Ar. These SiMCs will be used in the future as heat exchangers into the rear side of the solar cells, also making it possible to increase their efficiency with the passage of a coolant liquid. While it is necessary a long time, in order of hours, to obtain the SiMCs with the desired depth, making required the use plasma resistant hardmasks (HM). For this, patterns of Al HM lines were elaborated – transferred by lithography and thermal deposition to the c-Si surface – with subsequent treatments of plasma nitriding and/or thermal annealing. To improve the resistance to ion bombardment from the ICP-RIE plasma during the process; iv) finally, two types of photovoltaic cells were manufactured: the first is a homojunction, with different SiMCs shapes on its rear side and thermal diffusion of phosphorus as the emitting layer. The second is a heterojunction, with a-Si:H emitting layer deposited on the ECR-CVD, Al diffused (Al-Si) with and without SiO2 as lateral isolation. As better results: i) of the analyzed films for possible use as ARC, values ??of ?RTotal between -51.7 % and -58.6 % were obtained; ii) with the texturing of the silicon surface, reflectance values ??between -51.6 % and -57.6 % were reached, with heights or depths of the periodic structures varying between 5.7 µm and 6.9 µm; iii) similarly, for the SiMCs etchings, etching rates of 1.08 µm/min for the c-Si, and between 17 and 12 nm/min Al HMs were established; iv) from the manufactured homojunction cells, maximum efficiencies between 2.0% and 7.97% were obtained after the SiMCs etching; v) for the heterojunctions, this value was 1.2% for the first series with c-Si/Al-Si/SiNx structure, without SiO2 as lateral insulation. While the second series, with c-Si/Al-Si structure and SiO2 side insulation, obtained a maximum efficiency of 0.98% Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica CAPES 88882.329464/2019-01
- Published
- 2021
70. Development of extended gate field effect transistor (EGFET) for quantification of phosphorus mass removed from chronic kidney patients in hemodialysis sessions
- Author
-
Fernandes, Sergio Henrique, 1966, Manêra, Leandro Tiago, 1977, Ceragioli, Helder Jose, Diniz, José Alexandre, Zanin, Hudson Giovani, Teixeira, Ricardo Cotrin, Neli, Roberto Ribeiro, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Field effect transistor ,Fosfatos ,Óxido de alumínio ,Filmes finos ,Hemodialysis ,Thin films ,Transistores de efeito de campo ,Hemodiálise ,Aluminum oxide ,Phosphates - Abstract
Orientadores: Leandro Tiago Manera, Helder José Ceragioli Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: O trabalho a ser apresentado é o resultado da pesquisa sobre o transistor de efeito de campo com porta estendida (EGFET) que contribuiu para a avaliação do processo de hemodiálise no tratamento para pacientes renais crônicos. Trata-se de uma inovação, que permitirá ao médico nefrologista o controle do nível de fósforo no organismo do paciente renal crônico, e portanto um passo a mais na ciência médica com a contribuição do dispositivo EGFET fabricado. Substâncias em excesso como o fósforo são prejudiciais ao organismo, e o controle do nível sérico no paciente renal crônico, durante a hemodiálise, representa um desafio aos nefrologistas. O nível de fósforo no sangue acima do normal (hiperfosfatemia) está associado a casos de óbitos de pacientes renais crônicos. A partir desse problema então, foi desenvolvido um transistor de efeito de campo com porta estendida (EGFET) para ser utilizado na quantificação da massa de fósforo no dialisato total final extraída durante o processo de hemodiálise. Inicialmente para a fabricação do EGFET foi projetado e caracterizado capacitores metal-óxido-semicondutor (capacitor MOS) e dispositivo eletrólito-isolante-semicondutor (EIS) para as medidas de pH e para as medidas da concentração de fosfato em solução. Na fabricação dos capacitores MOS e dos dispositivos EIS, utilizou-se filmes finos de óxido de alumínio (Al2O3) depositado sobre uma estrutura composta de uma camada fina de óxido de silício (SiO2) sobre o substrato de silício. Foram realizadas a caracterização estrutural do filme de Al2O3, e a caracterização elétrica do capacitor MOS, que apresentou erros aproximados das curvas simuladas entre 4 e 7%, e do dispositivo EIS, que apresentou uma sensibilidade máxima de 182 mV/pH para as medidas de pH, e uma sensibilidade máxima de 347 mV/(mg/dL) para as medidas da concentração de fosfato em solução. O dispositivo EIS foi conectado a porta (gate) de um MOSFET comercial para formar o EGFET. Além disso, foi fabricado um eletrodo de referência contendo uma membrana íon-seletiva a base de poli álcool vinílico com inserção de ionóforo para o íon fosfato, para ser utilizado no EGFET. Os resultados obtidos das curvas da corrente de saturação IDS e das curvas de VGS em função da concentração de fosfato medida no intervalo de zero a 7 mg/dL no dialisato total final (DTF), e sensibilidade de 97 mV/(mg/dL), mostraram que o EGFET fabricado é uma solução inovadora nas medidas da concentração de fosfato no DTF em tempo real, e com a contribuição da quantificação da massa de fósforo que é removida do paciente renal crônico durante a sessão de hemodiálise. Isso permitirá ao médico nefrologista o controle do nível de fósforo no organismo do paciente renal crônico, e assim, evitando a hiperfosfatemia. Um circuito condicionador do sinal de resposta do EGFET foi fabricado a fim de possibilitar a leitura das medidas da concentração de fosfato no DTF que são realizadas em tempo real. Com o circuito condicionador do sinal do EGFET foi obtida uma sensibilidade de 694 mV/(mg/dL), com uma margem de erro de 6%, e com leitura mínima na medida da concentração de fosfato de 0,4 mg/dL. Para comprovação do experimento, foi realizado teste do EGFET em amostra do DTF fornecida pelo Departamento de Clínica Médica (Nefrologia) da Faculdade de Ciências Médicas da Universidade Estadual de Campinas, e o resultado obtido foi comparado com o realizado em laboratório, e que o resultado obtido com o EGFET apresentou inicialmente uma margem de erro de aproximadamente 15%. Essa diferença foi reduzida para aproximadamente 4,4% ajustando a tensão no eletrodo de referência do EGFET. Portanto, a metodologia proposta, o dispositivo sensor fabricado e o circuito obtido, possibilitaram uma solução inovadora na análise em tempo real da concentração do íon fosfato em pacientes nas sessões de hemodiálise Abstract: The work to be presented is the result of research on the extended gate field effect transistor (EGFET) that contributed to the evaluation of the hemodialysis process in the treatment for chronic renal patients. It is an innovation that will allow the nephrologist to control the level of phosphorus in the body of the chronic kidney patient and therefore a further step in medical science with the contribution of the manufactured EGFET device. Excessive substances such as phosphorus are harmful to the body, and the control of serum levels in chronic renal patients during hemodialysis represents a challenge for nephrologists. The level of phosphorus in the blood above normal (hyperphosphatemia) is associated with cases of death in chronic renal patients. From this problem, an extended field effect transistor (EGFET) was developed to be used in the quantification of the phosphorus mass in the final total dialysate extracted during the hemodialysis process. Initially for the manufacture of EGFET, metal-oxide-semiconductor capacitors (MOS capacitors) and electrolyte-insulating-semiconductor (EIS) devices were designed and characterized for pH measurements and for measurements of phosphate concentration in solution. In the manufacture of MOS capacitors and EIS devices, thin films of aluminum oxide (Al2O3) deposited on a structure composed of a thin layer of silicon oxide (SiO2) on the silicon substrate were used. The structural characterization of the Al2O3 film was carried out, and the electrical characterization of the MOS capacitor, which showed approximate errors of the simulated curves between 4 and 7%, and the EIS device, which showed a maximum sensitivity of 182 mV/pH for the measurements of pH, and a maximum sensitivity of 347 mV/(mg/dL) for measurements of phosphate concentration in solution. EIS device was connected to the gate of a commercial MOSFET to form the EGFET. In addition, a reference electrode was manufactured containing an ion-selective membrane based on polyvinyl alcohol with ionophore insertion for the phosphate ion, to be used in EGFET. The results obtained from the curves of the IDS saturation current and the VGS curves as a function of the phosphate concentration measured in the range of zero to 7 mg/dL in the final total dialysate (FTD), and sensitivity of 97 mV/(mg/dL), showed that EGFET manufactured is an innovative solution in the measurement of phosphate concentration in FTD in real time, and with the contribution of quantification of the phosphorus mass that is removed from the chronic renal patient during the hemodialysis session. This will allow the nephrologist to control the level of phosphorus in the body of the chronic kidney patient, and thus avoid hyperphosphatemia. A conditioner circuit of the EGFET response signal was manufactured and connected to an Arduino, in order to allow the reading of the phosphate concentration measurements in the FTD that are performed in real time, in which in this conditioner circuit of the EGFET signal was obtained a sensitivity of 694 mV/(mg/dL), with a margin of error of 6%, and with a minimum reading in the measurement of the phosphate concentration of 0,4 mg/dL. To prove the experiment, an EGFET test was performed on a FTD sample provided by the Department of Clinical Medicine (Nephrology) at the Faculty of Medical Sciences of the State University of Campinas, and the result obtained was compared with that performed in the laboratory, and that the result obtained with EGFET presented an error margin of approximately 15%. This difference was reduced to approximately 4.4% by adjusting the voltage at the EGFET reference electrode. Therefore, the proposed methodology, the sensor device manufactured and the circuit obtained, allowed an innovative solution in the real-time analysis of the concentration of phosphate ion in patients in hemodialysis sessions Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutora em Engenharia Elétrica
- Published
- 2021
71. Antenas reconfiguráveis por dispositivos semicondutores fotossensíveis
- Author
-
Fonseca, Felipe Henrique de Souza da, 1991, Orio, Roberto Lacerda de, 1981, Manêra, Leandro Tiago, 1977, Diniz, José Alexandre, Gomes, Marco Aurelio Cazarotto, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Semiconductor devices ,Dispositivos semicondutores ,Antenas ,Antennas - Abstract
Orientadores: Roberto Lacerda de Orio, Leandro Tiago Manera Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: No presente trabalho foram projetadas três antenas opticamente reconfiguráveis para aplicações sem fio e investigados três possíveis chaves fotocondutoras baseadas em dispositivos de silício. A tecnologia de antenas reconfiguráveis é baseada na habilidade dessas antenas irradiarem em diferentes frequências ou polarização de acordo com o estado de comutação requerido. Para cada estado de reconfiguração há uma redistribuição das correntes em sua estrutura, o que modifica suas propriedades de irradiação. A tecnologia tem recebido atenção nos últimos anos, uma vez que uma única antena pode trabalhar em frequências multibanda e alterar a dinâmica de suas características de transmissão e recepção conforme a necessidade de uso. Este trabalho possui o desafio de implementar mudanças nos elementos radiantes, conforme haja mudança nos estados dos elementos de comutação. A reconfiguração das antenas é feita por chaves ópticas implementadas com dispositivos fotocondutores de silício. As chaves possuem dois estados de comutação, ligado e desligado conforme expostas ou não a luz de um laser. Foram projetados dois tipos de fotorresistores (fotoresistor horizontal e vertical) e um fotodiodo. Os estudos das chaves foram baseados em simulações numéricas. O fotorresistor vertical tem a maior variação de resistência correspondendo a 51,8 vezes entre o estado desligado e ligado na faixa de frequência de 5 GHz, sendo assim a melhor escolha como um interruptor óptico. Além disso, foi também analisado qual comprimento de onda melhor corresponderia ao projeto. Foram verificados 4 diferentes comprimentos de onda baseando-se em lasers comerciais, sendo ???? = 808 nm o melhor comprimento de onda a ser usado no projeto. O fotorresistor horizontal foi fabricado e caracterizado. Foram projetadas, simuladas e fabricadas uma antena dipolo, uma antena em forma de E e uma antena com fenda em formato de E. Simulações e testes experimentais demonstram que a antena em forma de E opera a 5,7 GHz quando a chave não está iluminada, obtendo a perda de retorno de -17 dB. A antena muda sua frequência para 2,0 GHz quando exposta a luz do laser, obtendo a perda de retorno nessa frequência de -23,9 dB. A antena com a fenda em formato de E foi projetada de forma a corresponder às exigências da banda ISM (Industrial Sientific and Medical), que define que o sistema deve operar a 2,45 GHz ou a 5,8 GHz. Com essa antena foi possível que o sistema operasse em 5,8 GHz com a perda de retorno de -15,8 dB. Quando chaveada para operar em 2,45 GHz, a perda de retorno obtida é de -16 dB. Portanto, a antena com fenda em formato de E satisfez exigências da banda ISM Abstract: In the present work, three optically reconfigurable antennas were designed for wireless applications and three possible photoconductive switches based on silicon devices were investigated. Reconfigurable antenna technology is based on the ability of these antennas to radiate at different frequencies or polarization according to the required switching state. For each state of reconfiguration there is a redistribution of the currents in its structure, which modifies its irradiation properties. The technology has received attention in recent years since a single antenna can work on multiband frequencies and change the dynamics of its transmission and reception characteristics as needed. This work has the challenge of implementing changes in the radiant elements, according there is a change in the states of the switching elements. The reconfiguration of the antennas is done by optical switches implemented with silicon photoconductive devices. The switches have two switching states, on and off depending on whether or not a laser light is exposed. Two types of photoresistors (horizontal and vertical photoresist) and one photodiode were designed. The study of Switches were based on numerical simulations. The vertical photoresistor has the greatest resistance variation corresponding to 51.8 times between the off and on state in the 5 GHz frequency band, thus being the best choice as an optical switch. In addition, it was also analyzed which wavelength would best correspond to the design. Four different wavelengths were verified based on commercial lasers, with ???? = 808 nm being the best wavelength to be used in the design. The horizontal photoresistor was manufactured and characterized. A dipole antenna, an E-shaped antenna, and an E.-slotted antenna were designed, simulated and manufactured. Simulations and experimental tests demonstrate that the E-shaped antenna operates at 5.7 GHz when the switch is not illuminated , obtaining the return loss of -17 dB. The antenna changes its frequency to 2.0 GHz when exposed to laser light, obtaining the return loss at that frequency of -23.9 dB. The antenna with the E-slot was designed to meet the requirements of the ISM band (Industrial Scientific and Medical), which defines that the system should operate at 2.45 GHz or 5.8 GHz. With this antenna it was possible for the system to operate at 5.8 GHz with the return loss of -15.8 dB. When switched to operate at 2.45 GHz, the return loss obtained is -16 dB. Therefore, the E-shaped slotted antenna met ISM band requirements Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica CNPQ 134830/2016-4
- Published
- 2020
- Full Text
- View/download PDF
72. Fabricação de matrizes de microeletrodos semitransparentes através de escrita direta a laser
- Author
-
Gomes, Vanessa Pereira, 1989, Swart, Jacobus Willibrordus, 1950, Panepucci, Roberto Ricardo, Diniz, José Alexandre, Vieira, Andre Schwambach, Soares, Alcimar Barbosa, Naves, Eduardo Lázaro Martins, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Neurons ,Neurônios ,Microeletrodos ,Grafeno ,Graphene ,Microelectrodes - Abstract
Orientadores: Jacobus Willibrordus Swart, Roberto Ricardo Panepucci Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este projeto de doutorado visa a produção de uma nova versão de Matrizes de Microeletrodos (MEAs) de alto desempenho, produzidas de forma customizada e empregadas para estudos de redes neurais in vitro. Tais dispositivos são compostos por eletrodos de grafeno (crescido por Deposição em Fase Vapor sobre folha de cobre e transferido ao substrato), e isolação de dióxido de silício, sobre substrato de vidro, geradas sem a utilização de máscaras, através da técnica de escrita direta a laser. Como resultado, duas gerações de MEAs foram fabricadas e caracterizadas, exibindo especificações elétricas e biológicas apropriadas para sua aplicação. Verificou-se que a resposta referente às MEAs discutidas nesta tese apresentou significativas melhorias quando comparada com àquelas presentes em estudos encontrados na literatura, principalmente com relação à carga que nossos microeletrodos são capazes de armazenar e aplicar na cultura celular durante pulsos estimulatórios. O nível de ruído foi inferior à 10 µV em ambos os dispositivos, enquanto a Capacidade de Injeção de Carga, que é calculada a partir da curva de Voltametria Cíclica, foi muito superior tanto quando comparada com MEAs comerciais (0,054 mC/cm²) como com MEAs com microeletrodos padrões de nitreto de titânio (TiN) produzidas durante o projeto de mestrado (0,63 mC/cm²). Para os nossos dispositivos, os valores médios foram de 0,67 ± 0,009 mC/cm² e 19,7 ± 0,025 mC/cm². Adicionalmente, o segundo dispositivo produzido também é significativamente superior também quando confrontado com os dados divulgados na literatura, cujo melhor resultado foi 3,1 mC/cm². Com relação à Espectroscopia de impedância, a resposta verificada para as nossas MEAs de grafeno também foi superior. O valor médio da impedância para a frequência de 1 kHz foi de 28,65 ± 3,98 k'omega' e 73,95 ± 3,84 k'omega', para as versões 1 e 2, respectivamente, que são valores compatíveis com os apresentados por MEAs comerciais padrão da MultiChannel Systems (30-400 k'omega'), além de ser melhor que aquele obtido para MEAs produzidas durante o projeto de mestrado, cujo valor foi 141,60 ± 5,27 k'omega'. Por fim, o teste de biocompatibilidade mostrou que a MEA produzida é adequada para realizar medidas de potenciais celulares, não induzindo efeitos tóxicos sobre as células. Consequentemente, nós conseguiumos fabricar um novo modelo de MEA com microeletrodos transparentes, com grande CIC e baixos níveis de impedância e ruído de grafeno com resposta altamente superior àquela encontrada para as MEAs comerciais e divulgadas na literatura. Com isso, trata-se de um dispositivo adequado para atuar como interface bioeletrônica no estudo de redes neurais tanto para estimulação quanto para medição dos potenciais elétricos (espontâneos ou induzidos) das mesmas Abstract: This PhD project aims to produce a new version of high performance, custom made Microelectrode Arrays (MEAs), applied for in vitro neural network studies. Such devices are composed of graphene electrodes (grown by Chemical Vapor Deposition on copper foil and transferred to the substrate), and silicon dioxide passivation on glass substrate, generated without the use of masks, through laser direct writing technique. As a result, two generations of MEAs have been manufactured and characterized, exhibiting appropriate electrical and biological specifications for their application. It was found that the response of the MEAs discussed in this thesis improved when compared to those shown in studies in the literature, especially the charge that our microelectrodes are capable of storing and applying to cell culture during stimulatory pulses. Noise level was below 10 µV for both devices, while Charge Injection Capacity, which is calculated from the Cyclic Voltammetry curve, was much higher if compared to commercial MEAs (0.054 mC/cm²) and MEA with standard titanium nitride microelectrodes (TiN) produced during the master¿s project (0.63 mC/cm²). For our devices, the mean values were 0.67 ± 0.009 mC/cm² and 19.7 ± 0.025 mC/cm². Additionally, the second device produced is also significantly better also when compared to data published in the literature, whose best result was 3.1 mC/cm². Regarding impedance spectroscopy, the response verified for our graphene MEAs was also superior. The average impedance value at 1 kHz was 28,65 ± 3,98 k'omega' and 73,95 ± 3,84 k'omega' for the first and second versions, respectively, which are values compatible with those presented standard commercial MEA from MultiChannel Systems (30-400 k?), and are better than those obtained for MEAs produced during the master¿s project, whose value was 141.60 ± 5,27 k'omega'. Finally, biocompatibility test showed that our de-vice is adequate to measure cellular potentials, not inducing toxic effects on cells. Consequently, we were able to produce a new model of MEA with transparent microelectrodes, with high CIC and low impedance and graphene noise levels with highly superior response to that found for commercial MEAs published in the literature. Thus, it is a suitable device to act as a bioelectronic interface in the study of neural networks for both stimulation and measurement of their electrical potentials (spontaneous or induced) Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutora em Engenharia Elétrica CAPES 88882.329438/2019-01, 1555137
- Published
- 2020
- Full Text
- View/download PDF
73. Development of devices ions sensitive field effects (EIS and ISFET) with different integrated electrodes (Al, Al2O3/Al, Graphene/TiN, TiN and Au/Ti) of reference
- Author
-
Rodrigo Reigota César, Diniz, José Alexandre, 1964, Torres, Katia Franklin Albertin, Teixeira, Ricardo Cotrin, Zanin, Hudson Giovani, Manêra, Leandro Tiago, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Íons ,Ions ,Capacitadores ,Electrode ,Eletrodos ,Capacitor - Abstract
Orientador: José Alexandre Diniz Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Essa tese trata do desenvolvimento de dispositivos de efeito de campo sensível a íons ¿ ISFET, com diferentes eletrodos integrados (Al (alumínio), Al2O3 (óxido de alumínio)/Al, Grafeno/TiN, TiN (nitreto de titânio) e Au (ouro)/Ti) de referência. Nesse trabalho, os ISFETs serão fabricados tendo o óxido de titânio (TiO2) como membrana sensitiva, que será utilizada para detectar a variação do pH de soluções ácidas, neutras e básicas. Este óxido possui uma grande capacidade de formar ligações de hidrogênio, aumentando a sensibilidade do dispositivo. O desenvolvimento de ISFETs está baseado na fabricação e na caracterização: (i) de filmes de TiO2 sensível a íons, determinando os melhores parâmetros de espessura, rugosidade, estequiometria e suas propriedades elétricas; (ii) de capacitores Eletrólito-Isolante-Semicondutor¿EIS com eletrodos integrados de Al, Al2O3/Al, Grafeno/TiN, TiN e Au/Ti de referência; (ii) de arranjos de 65 ISFETs, nesses casos com e sem eletrodo integrado de referência de Au/Ti. Esse trabalho apresentou, como melhores resultados: (i) Obtenção de TiO2 depositado por sputtering DC com constante dielétrica em torno de 60; (ii) Capacitores EIS com membrana sensitiva de TiO2 e diferentes eletrodos integrados de referência (Al, Al2O3/Al, Grafeno/TiN, TiN e Au/Ti), obtendo-se o melhor resultado para os eletrodos de TiN; (iii) Capacitores EIS, com membrana sensitiva de TiO2 e eletrodo integrado de referência de Au/Ti, que apresentaram corrente de fuga pelo dielétrico devido aos efeitos de tunelamento Fowler-Nordheim (F-N) e emissão Schottky; (iv) Transistores MOSFETs com dielétrico de porta de TiO2 com elevado valor de trancondutância de 32,4 mS/mm; (v) Transistores ISFETs com membrana sensitiva de TiO2, sem eletrodo integrado, mas com o eletrodo externo de referência de Au, com elevada sensibilidade de 1,3 mA/pH; (vi) Transistores ISFETs com membrana sensitiva de TiO2, com eletrodo integrado de referência de Au/Ti, com sensibilidades diferentes para soluções ácidas/neutra e básicas de 755 µA/pH e 92 µA/pH, respectivamente, devido à corrente de fuga pelo dielétrico de porta (TiO2) causada pelos efeitos de tunelamento F-N e emissão Schottky. Em conclusão, os melhores resultados obtidos foram: (i) capacitor EIS com eletrodo integrado de referência de TiN, pois suportaram as soluções ácidas e básicas, e não apresentaram corrente de fuga pelo dielétrico e tiveram sensibilidade de 13 mV/pH; (ii) o arranjo de 65 ISFETs sem eletrodo integrado, mas com o eletrodo externo de referência de Au, pois apresentou elevada sensibilidade de 1,3 mA/pH. Como principal trabalho futuro, deve-se estabelecer a repetibilidade de fabricação dos arranjos de ISFETs com eletrodo integrado de referência de TiN acoplado monoliticamente a um circuito condicionador Abstract: This thesis deals with the development of ion-sensitive field effect devices - ISFET, with different integrated reference of Al (aluminium), Al2O3 (aluminium oxide)/Al, Graphene/TiN (titanium nitride), TiN and Au (gold)/Ti) electrodes. In this work, ISFETs will be developed using titanium oxide (TiO2) as a sensitive membrane, which will be used to detect the pH variation of acidic, neutral and basic solutions. This oxide has a great capacity to form hydrogen bonds, increasing the sensitivity of the device. The development of ISFETs is based on the manufacture and characterization of: (i) TiO2 films sensitive to ions, determining the best parameters of thickness, roughness, stoichiometry and their electrical properties; (ii) Electrolyte-Insulating-Semiconductor ¿ EIS capacitors with integrated reference of Al, Al2O3/Al, Graphene/TiN, TiN and Au/Ti electrodes; (ii) of 65 ISFET arrays, in these cases with and without an integrated Au/Ti electrode. This work presented the following innovations: (i) Obtaining TiO2 deposited by DC sputtering with a dielectric constant around 60; (ii) EIS capacitors with TiO2 sensitive membrane and different integrated reference (Al, Al2O3/Al, Graphene/TiN, TiN and Au/Ti) electrodes, obtaining the best result for the TiN electrodes; (iii) EIS capacitors, with TiO2 sensitive membrane and integrated Au/Ti reference electrode, which presented leakage current through the dielectric due to the effects of Fowler-Nordheim (F-N) tunneling and Schottky emission; (iv) MOSFET transistors with TiO2 gate dielectric with a high transconductance value of 32.4 mS/mm; (v) ISFET transistors with TiO2 sensitive membrane, without integrated electrode, but with external Au reference electrode, with high sensitivity of 1.3 mA/pH; (vi) ISFETs transistors with TiO2 sensitive membrane, with integrated Au/Ti reference electrode, with different sensitivities for acidic/neutral and basic solutions of 755 µA/pH and 92 µA/pH, respectively, due to the leakage current through the port dielectric (TiO2) caused by the effects of F-N tunneling and Schottky emission. In conclusion, the best results obtained were: (i) EIS capacitor with integrated TiN reference electrode, as they supported acid and basic solutions, and did not present leakage current through the dielectric and had a sensitivity of 13 mV/pH; (ii) the arrangement of 65 ISFETs without an integrated electrode, but with the external Au reference electrode, as it had a high sensitivity of 1.3 mA/pH. As the main future work, the manufacturing repeatability of ISFET arrangements with integrated TiN reference electrode coupled monolithically to a conditioning circuit should be established Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica CAPES 1423271
- Published
- 2020
74. Integrated inductors on kapton flexible substrate for radiofrequency and microwave heterogeneous integration
- Author
-
Freitas, Wilson José, 1962, Manêra, Leandro Tiago, 1977, Cima, Carlos Alberto, Zanin, Hudson Giovani, Diniz, José Alexandre, Rotondaro, Luís Antônio Pacheco, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Electric inductors - Manufacturing ,Coils ,Circuitos integrados ,Indutores elétricos - Projetos e construção - Manuais, guias, etc ,Bobinas ,Integrated Circuits - Abstract
Orientador: Leandro Tiago Manera Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Foi desenvolvida uma tecnologia simples e original baseada em um substrato flexível de Kapton sobre o qual foram fabricados indutores integrados de alto rendimento,utilizando-se pela primeira vez deposição eletrolítica para formação das linhas metálicas com ouro e lift-off para formar as áreas de dielétrico com óxido de silício. Foram obtidas indutâncias na faixa de 0,8 nH a 3,5 nH, densidade de indutância de até 49 nH/mm 2 e fator de qualidade máximo de 9, com frequência de ressonância acima de 20 GHz e frequência de operação de até 13 GHz. Esses resultados estão entre os melhores já relatados na literatura e são adequados à utilização na integração heterogênea de circuitos de mixers, filtros, amplificadores de baixo ruído e osciladores para aplicações nas faixas de rádio-frequência e micro-ondas. O uso adequado de camadas de adesão mostrou não ser necessária a preparação da superfície do substrato flexível para melhorar a aderência dos filmes depositados. A análise de falhas e o desenvolvimento de um novo método de avaliação de confiabilidade denominado "Teste de Flexão Cíclico Funcional" demonstraram que não houve problemas relacionados à utilização do substrato flexível de Kapton, comprovando o desenvolvimento de uma tecnologia de fabricação robusta, obtida de maneira simples, barata e reprodutível, com potencial de melhoria através da otimização dos parâmetros do processo Abstract: A simple and original technology was developed based on a Kapton flexible substrate on which integrated high-performance inductors were manufactured, using for the first time electrolytic deposition for the formation of metallic lines with gold and lift-off to form the dielectric areas with silicon oxide. Inductances in the range of 0.8 nH to 3.5 nH, inductance density of up to 49 nH/mm 2 and maximum quality factor of 9 were obtained, with resonance frequency above 20 GHz and operating frequency up to 13 GHz. These results are among the best reported in the literature and are suitable for use in the heterogeneous integration of mixer circuits, filters, low noise amplifiers, and oscillators for applications in the radio frequency and microwave ranges. The proper use of adhesion layers showed that it is not necessary to prepare the surface of the flexible substrate to improve the adhesion of the deposited films. Failure analysis and the development of a new reliability assessment method called "Functional Cyclic Bending Test" demonstrated that there were no problems related to the use of the Kapton flexible substrate, proving the development of a robust manufacturing technology, obtained in a simple, cheap and reproducible way, with potential for improvement through the optimization of process parameters Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2020
75. Estudo da eletromigração em circuitos integrados na fase de projeto
- Author
-
Nunes, Rafael Oliveira, 1983, Orio, Roberto Lacerda de, 1981, Manêra, Leandro Tiago, 1977, Diniz, José Alexandre, Zoccal, Leonardo Breseghello, Ferreira, Pietro Maris, Souza, Michelly de, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Circuitos eletrônicos ,Elecronic circuits ,Microelectronics ,Reliability (Engineering) ,Electromigration ,Confiabilidade (Engenharia) ,Integrated circuits ,Eletromigração ,Circuitos integrados ,Microeletrônica - Abstract
Orientadores: Roberto Lacerda de Orio, Leandro Tiago Manera Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: O dano por eletromigração nas interconexões é um gargalo bem conhecido dos circuitos integrados, pois causam problemas de confiabilidade. A operação em temperaturas e densidades de corrente elevadas acelera os danos, aumentando a resistência da interconexão e, portanto, reduzindo a vida útil do circuito. Este problema tem se acentuado com o escalonamento da tecnologia. Para garantir a confiabilidade da interconexão e, como consequência, a confiabilidade do circuito integrado, métodos tradicionais baseados no chamado Efeito Blech e numa densidade de corrente máxima permitida são implementados durante o projeto da interconexão. Esses métodos, no entanto, não levam em consideração o impacto da eletromigração no desempenho do circuito. Neste trabalho, a abordagem tradicional é estendida e um método para avaliar o efeito da eletromigração no desempenho de circuito integrado é desenvolvido. O método é implementado em uma ferramenta que identifica as interconexões críticas em um circuito integrado e sugere larguras adequadas com base em diferentes critérios para mitigar os danos à eletromigração e aumentar a confiabilidade. Além disso, é determinada a variação dos parâmetros de desempenho do circuito conforme a resistência das interconexões aumenta. A ferramenta é incorporada ao fluxo de projeto do circuito integrado e usa os dados dos kits de projeto e relatórios diretamente disponíveis no ambiente de projeto. Uma análise precisa da distribuição de temperatura na estrutura de interconexão é essencial para uma melhor avaliação da confiabilidade da interconexão. Portanto, é implementado um modelo para calcular a temperatura em cada nível de metalização da estrutura de interconexão. A distribuição de temperatura nas camadas de metalização de diferentes tecnologias é investigada. É mostrado que a temperatura no Metal 1 da tecnologia Intel 10 nm aumenta 75 K, 12 K mais alta que no Metal 2. Como esperado, as camadas mais próximas dos transistores sofrem um aumento de temperatura mais significativo. A ferramenta é aplicada para avaliar eletromigração nas interconexões e na robustez de diferentes circuitos, como um oscilador em anel, um circuito gerador de tensão de referência tipo bandgap e um amplificador operacional. O amplificador operacional, em particular, é cuidadosamente estudado. A metodologia proposta identifica interconexões críticas que quando danificadas por eletromigração causam grandes variações no desempenho do circuito. No pior cenário, a frequência de corte do circuito varia 65% em 5 anos de operação. Uma descoberta interessante é que a metodologia proposta identifica interconexões críticas que não seriam identificadas pelos critérios tradicionais. Essas interconexões operam com densidades de corrente abaixo do limite recomendado pelas regras de projeto. No entanto, uma dessas interconexões leva a uma variação de 30% no ganho do amplificador operacional. Em resumo, a ferramenta proposta verificou que dos 20% de caminhos com uma densidade crítica de corrente, apenas 3% degradam significativamente o desempenho do circuito. Este trabalho traz o estudo da confiabilidade das interconexões e de circuitos integrados para a fase de projeto, o que permite avaliar a degradação do desempenho do circuito antecipadamente durante o seu desenvolvimento. A ferramenta desenvolvida permite ao projetista identificar interconexões críticas que não seriam detectadas usando o critério de densidade máxima de corrente, levando a uma análise mais ampla e precisa da robustez de circuitos integrados Abstract: Electromigration damage in interconnects is a well-known bottleneck of integrated circuits, because it causes reliability problems. Operation at high temperatures and current densities accelerates the damage, increasing the interconnect resistance and, therefore, reducing the circuit lifetime. This issue has been accentuated with the technology downscaling. To guarantee the interconnect reliability and, as a consequence, the integrated circuit reliability, traditional methods based on the so-called Blech Effect and on the maximum allowed current density are implemented during interconnect design. These methods, however, do not take into account the impact of the electromigration on the circuit performance. In this work the traditional approach is extended and a method to evaluate the effect of the electromigration in an integrated circuit performance is developed. The method is implemented in a tool which identifies the critical interconnect lines of an integrated circuit and suggests the proper interconnect width based on different criteria to mitigate the electromigration damage and to increase the reliability. In addition, the variation of performance parameters of the circuit as an interconnect resistance changes is determined. The tool is incorporated into the design flow of the integrated circuit and uses the data from design kits and reports directly available from the design environment. An accurate analysis of the temperature distribution on the interconnect structure is essential to a better assessment of the interconnect reliability. Therefore, a model to compute the temperature on each metallization level of the interconnect structure is implemented. The temperature distribution on the metallization layers of different technologies is investigated. It is shown that the temperature in the Metal 1 of the Intel 10 nm can increase by 75 K, 12 K higher than in the Metal 2. As expected, the layers that are closer to the transistors undergo a more significant temperature increase. The tool is applied to evaluate the interconnects and the robustness of different circuits, namely a ring oscillator, a bandgap voltage reference circuit, and an operational amplifier, against electromigration. The operational amplifier, in particular, is thoroughly studied. The proposed methodology identifies critical interconnects which under electromigration cause large variations in the performance of the circuit. In a worst-case scenario, the cutoff frequency of the circuit varies by 65% in 5 years of operation. An interesting finding is that the proposed methodology identifies critical interconnects which would not be identified by the traditional criteria. These interconnects have current densities below the limit recommended by the design rules. Nevertheless, one of such an interconnect leads to a variation of 30% in the gain of the operational amplifier. In summary, the proposed tool verified that from the 20% paths with a critical current density, only 3% degrades significantly the circuit performance. This work brings the study of the reliability of the interconnects and of integrated circuits to the design phase, which provides the assessment of a circuit performance degradation at an early stage of development. The developed tool allows the designer to identify critical interconnects which would not be detected using the maximum current density criterion, leading to more accurate analysis of the robustness of integrated circuits Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica CAPES 88882.329437/2019-01
- Published
- 2020
76. Fabrication of GaAs microdisks using selective AlGaAs oxidation OF Al0.9Ga0.1As
- Author
-
Marcelo, Gustavo Adolfo Palomino, 1990, Manêra, Leandro Tiago, 1977, Frateschi, Newton Cesário, 1962, Diniz, José Alexandre, Barêa, Luís Alberto Mijam, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Microcavidades óticas ,Optical microcavities ,Ressonadores ,Oxidation ,Oxidação ,Resonators - Abstract
Orientadores: Leandro Tiago Manera, Newton Cesário Frateschi Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Lasers de microdiscos apresentam um alto confinamento do modo ótico na região de ganho, uma baixa potência limiar e facilidade de integração. Essas características os fazem apropriados como fontes de luz no desenvolvimento de circuitos integrados fotônicos. Etapas prévias ao desenvolvimento desse tipo de lasers são a fabricação do microdisco e a sua caracterização como ressonador passivo e ativo. Os modos ressonantes no microdisco são chamados de Whispering Gallery Modes (WGM) e propagam-se na sua borda. Neste trabalho, fabricaram-se dois tipos de microdiscos de GaAs, que diferenciam-se no tipo de interface da sua borda: GaAs/ar e GaAs/AlOx. O AlOx é obtido da oxidação úmida de ligas Al0.9Ga0.1As previamente caracterizada. A caracterização como ressonador passivo foi realizada por meio da técnica de acoplamento com fibra afunilada, e, como ressonador ativo, utilizando-se o método de micro fotoluminescência por meio da injeção de portadores ao poço quântico de In0.2Ga0.8As, localizado no meio do GaAs. Os resultados da caracterização como ressonador passivo foram obtidos para os microdiscos de 10 µm de diâmetro e mostraram que os de interface GaAs/ar apresentam um fator de qualidade de aproximadamente o dobro do que os de interface GaAs/AlOx. Baseando-nos no modelamento analítico do microdisco, determinou-se que os modos propagados eram WGM TE. Os resultados da caracterização como ressonador ativo foram obtidos para os microdiscos de 40 µm de diâmetro, e mostraram uma relação entre os picos da emissão do poço quântico e da emissão do GaAs de 3 para os microdiscos com interface GaAs/ar e de 2 para os com interface GaAs/AlOx. A propagação somente dos modos WGM TE nos microdiscos de 10 µm de diâmetro pode sugerir a presença de rugosidades nas paredes laterais, que atenuam a propagação dos modos WGM TM nesses microdiscos e a propagação dos modos WGM TE em microdiscos de maior diâmetro. O menor fator de qualidade para os microdiscos de GaAs/AlOx indicaria que a oxidação produz uma rugosidade adicional nos microdiscos. A menor relação entre os picos do poço e do GaAs para os microdiscos de GaAs/AlOx pode sugerir um aumento das perdas de recombinação superficial do GaAs durante o processo de oxidação. Conseguiu-se a fabricação de microdiscos com interface GaAs/AlOx sem a degradação da camada de GaAs e do poço quântico, mas durante a formação de AlOx introduzem-se rugosidades e defeitos que degradam a qualidade dos microdiscos como ressonadores em relação aos microdiscos com interface GaAs/ar Abstract: Microdisk lasers have a high confinement of the optical mode in the gain region, a low threshold power and ease of integration. These characteristics make them suitable as light sources in the development of photonic integrated circuits. Previous steps to the development of this type of lasers are the fabrication of the microdisk and its characterization as a passive and active resonator. The resonant modes on the microdisk are called Whispering Gallery Modes (WGM) and propagate at its edge. In this work, two types of GaAs microdisks were fabricated, which differ in the interface type of their edge: GaAs/air and GaAs/AlOx. AlOx is obtained from the wet oxidation of previously characterized Al0.9Ga0.1As alloys. The characterization as a passive resonator was performed using the tapered fiber coupling technique, and, as an active resonator, using the micro photoluminescence method by injecting carriers into the In0.2Ga0.8As quantum well, located in the middle of GaAs. The results of the characterization as a passive resonator were obtained for the microdisks of 10 µm in diameter and showed that those of the GaAs/air interface have a quality factor of approximately twice that of the GaAs/AlOx interface. Based on the analytical modeling of the microdisk, it was determined that the propagated modes were WGM TE. The results of the characterization as an active resonator were obtained for the microdisks of 40 µm in diameter, and showed a relationship between the peaks of the quantum well emission and the GaAs emission of 3 for the microdisks with GaAs/air interface and 2 for the with GaAs/AlOx interface. The propagation of only the WGM TE modes in microdisks of 10 µm in diameter may suggest the presence of roughness in the sidewalls, which attenuate the propagation of WGM TM modes in these microdisks and the propagation of WGM TE modes in microdisks of larger diameter. The lowest quality factor for GaAs/AlOx microdisks would indicate that oxidation produces additional roughness in the microdisks. The lower relationship between the well peaks and the GaAs for the GaAs/AlOx microdisks may suggest an increase in the losses of GaAs surface recombination during the oxidation process. It was possible to manufacture microdisks with a GaAs/AlOx interface without the degradation of the GaAs layer and the quantum well, but during the formation of AlOx, roughness and defects are introduced that degrade the quality of microdisks as resonators in relation to microdisks with an interface GaAs/air Mestrado Telecomunicações e Telemática Mestre em Engenharia Elétrica CAPES 001
- Published
- 2020
77. Fabrication and characterization of HBT, vertical MOSFET, JNT and TFET transistors based on III-V substrates with silicon nitride passivation
- Author
-
Cássio Roberto de Almeida, Diniz, José Alexandre, 1964, Manêra, Leandro Tiago, Zoccal, Leonardo Breseghello, Teixeira, Ricardo Cotrin, Yoshioka, Ricardo Toshinori, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Crescimento epitaxial ,Transistores ,Nitreto de silício ,Silicon Nitride ,Heterojunção ,III-V Semiconductors ,Heterojunction ,Transistors ,Semicondutores III-V ,Epitaxial Growth - Abstract
Orientador: José Alexandre Diniz Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este trabalho apresenta a fabricação de transistores autoalinhados de tunelamento TFET (Tunnel Field-Effect Transistors), de efeito de campo VERTICAL-MOSFET (Metal-Oxide-Semiconductor Field Effect Transistor) e JNT (Junctionless Nanowire Transistor), e transistores de heterojunção n/p/n HBT (Heterojunction Bipolar Transistor), respectivamente, com passivação. Os dispositivos estão baseados em substratos crescidos epitaxialmente pela técnica de MOCVD (Metalorganic Chemical Vapor Deposition), bem como pela técnica de CBE (Chemical Beam Epitaxy) e, principalmente, fundamentados no método de passivação de superfícies semicondutoras III-V de substratos de arseneto de gálio (GaAs) e de heteroestruturas de fosfeto de gálio-índio sobre arseneto de gálio (InGaP/GaAs), que utiliza nitreto de silício (SiNx) depositado por ECR-CVD (Electron Cyclotron Resonance Chemical Vapor Deposition) e desenvolvido no Centro de Componentes Semicondutores e Nanotecnologias (CCSNano). Visando máxima redução da densidade de estados de superfícies semicondutoras para níveis menores que 1012cm-2, o processo de passivação, por conseguinte, objetiva reduzir a corrente de fuga nas regiões ativas dos transistores III-V fabricados. O uso do SiNx como agente passivador, vem possibilitar a construção de micro/nanoestruturas elétricas sobre substratos de III-V, visto as intensas pesquisas devido à alta densidade de estados na região da interface isolante-semicondutor. Como resultado da passivação dos dispositivos pelo nitreto de silício, obteve-se transistores HBT com ganhos máximos de corrente (IC/IB) de até 1,6x105; Vertical MOSFET com valores de Vth iguais a 125mV e fuga de corrente na ordem de 10nA; JNT controlados pela porta e com baixa corrente de fuga; assim como, transistores TFET apresentando altos valores de transcondutância máxima (GMMAX)/µm de aproximadamente 215µS/µm, Subthreshold Swing (SS) inferiores a 60mV/dec e a razão ION/IOF atingindo valores iguais a 1x107. Esses dados demonstram que o processo de passivação é eficiente e o nitreto de silício de alta qualidade, sendo completamente compatível com a tecnologia de fabricação de circuitos integrados Abstract: This work presents the fabrication of Tunnel Field-Effect Transistors (TFET), Vertical Metal-Oxide Semiconductor Field Effect Transistor (VERTICAL-MOSFET), Junctionless Nanowire Transistor (JNT), and Heterojunction Bipolar Transistor (HBT), respectively. The devices are based on substrates grown epitaxially by the MOCVD (Metalorganic Chemical Vapor Deposition) technique, as well as by the CBE (Chemical Beam Epitaxy) technique and mainly based on the passivation method of III-V semiconductor surfaces of (GaAs) and gallium-indium phosphide heterostructures on gallium arsenide (InGaP/GaAs), using silicon nitride (SiNx) deposited by ECR-CVD (Electron Cyclotron Resonance Chemical Vapor Deposition) and developed at the Center of Semiconductors Components and Nanotechnologies (CCSNano). In order to maximize the reduction of the density of semiconductor surface states to lower levels than 1012cm-2, the passivation process, therefore, aims to reduce the leakage current in the active regions of the manufactured III-V transistors. The use of SiNx as a passivating agent allows the construction of micro/nanostructures on III-V substrates since the investigations are intense due to the high defect density in the region of the insulator-semiconductor interface. As a result of the passivation of the devices by silicon nitride, we obtained HBT transistors with maximum current gains (IC/IB) of up to 1.6x105; Vertical MOSFET with Vth values equal to 125mV and current leakage in the order of 10nA; JNT controlled by the door and with low leakage current; as well as TFET transistors presenting high transconductance values (GMMAX)/?m of approximately 215?S/?m, Subthreshold Swing (SS) of less than 60mV/dec and the ION/IOF ratio reaching values equal to 1x107. These data demonstrate that the passivation process is efficient and the high quality of silicon nitride, being fully compatible with integrated circuit manufacturing technology Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica FAPESP 2013/13983-2
- Published
- 2019
78. Fabrication and characterization of vertical devices in the same pilar structure on silicon substrate
- Author
-
Luís Francisco Pinotti, Diniz, José Alexandre, 1964, Manêra, Leandro Tiago, Der Agopian, Paula Ghedini, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Silicon ,Transistores de efeito de campo de semicondutores de óxido metálico ,Semiconductor materials and devices ,Field effect transistors of metal oxide semiconductors ,Transistores ,Transistores de efeito de campo ,Materiais e dispositivos semicondutores ,Silício ,Field effect transistors ,Transistors - Abstract
Orientador: José Alexandre Diniz Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Transistores verticais vêm sendo fabricados com comprimentos de canais menores que 100nm, sem processos litográficos com dimensões sub-micrométricas e nanométricas, usadas na fabricação dos atuais dispositivos com dimensões de 10nm. Este trabalho apresenta uma estrutura de pilar de silício contendo dois dispositivos verticais MOS (Metal-Óxido-Semicondutor) com dupla porta. Um MOSFET (MOS Field-Effect Transistor) e um similar ao funcionamento de um TFET (Tunneling FET). As regiões abruptas n+ de fonte e dreno no pilar vertical (p-Si) são obtidas a partir de implantações sequenciais de íons 31P+ (energias de 100, 50 e 25keV) e de Recozimento Térmico Rápido (RTA - Rapid Thermal Annealing). A região abrupta do dreno no pilar p-Si permite o controle vertical do comprimento do canal de condução do MOSFET (70nm entre fonte e dreno). Dentro do canal, na transição entre a junção n+/p, pode-se prever por simulação Synopsys uma região dopada com concentrações menores que 1015 dopantes/cm3. Essa região, que será denominada "quase intrínseca" (i), devido às baixas concentrações (entre 1014 e 1015 cm-3), apresenta um comprimento entre 2nm e 20nm respectivamente, obtendo-se assim uma estrutura n+/i/p, importante para o funcionamento de um dispositivo baseado em tunelamento. Este dispositivo, como apresenta o efeito de campo aplicado pela dupla porta utilizando uma estrutura n+/i/p, tem comportamento similar ao TFET. Então, será denominado STFET, sendo o S de similar. Os MOSFETs e STFETs, que foram fabricados com porta de Al, apresentaram os melhores resultados - Ion de 1mA, gm de 900µS e razão (Ion/Ioff) de 107 - em relação ao melhor desempenho no regime de condução. Entretanto, ambos os dispositivos, fabricados com TiN, apresentaram melhor desempenho relacionado a perdas e/ou correntes de fuga (Ioff de 36pA). É importante notar que o uso alternado no mesmo pilar de silício para os dispositivos MOSFET ou STFET pode ser adequado para as aplicações nas quais são necessárias operações de alta e baixa potência, respectivamente Abstract: Vertical transistors have been fabricated with channel lengths smaller than 100nm, without lithographic processes with sub-micrometric and nanometric dimensions, which are used for fabrication of the current devices with 10nm dimensions. This work presents a silicon pillar structure containing two MOS (Metal-Oxide-Semiconductor) devices with double gate. One MOSFET (MOS Field-Effect Transistor) and one similar to the operation of a TFET (Tunneling FET). The abrupt n+ regions of source and drain in the p-Si vertical pillar are obtained from sequential 31P+ ion implantations (energies of 100, 50 and 25keV) and Rapid Thermal Annealing (RTA). The abrupt drain region in the p-Si pillar allows the vertical control of conduction channel length of the MOSFET device (70nm between source and drain). Within the channel, at the transition between the n+/p junction, one can predict by Synopsys simulation a doped region with concentrations less than 1015 dopants/cm3. This region, which will be called "almost intrinsic" (i), due to the low concentrations (between 1014 and 1015 cm-3), has a length between 2nm and 20nm respectively, thus obtaining a structure n+/i/p, important for the operation of a device based on tunneling. This device, as it presents the field effect applied by the double gate using a n+/i/p structure, behaves similarly to TFET, so it will be called STFET, with S being similar. The MOSFETs and STFETs, which were fabricated with Al gate, have presented the better results - Ion of 1mA, g of 900µS and (Ion/Ioff) ratio of 107 - related to higher performance in conduction regime. However, both devices, fabricated with TiN, have presented higher performance related to leakage and/or off current (Ioff of 36pA). It is important to notice that the alternating use at the same silicon pillar for the both MOSFET or STFET devices can be suitable to the applications in which are necessary high and low power operations, respectively Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica CNPQ 134844/2016-5 FAPESP
- Published
- 2019
79. Corrosão de silício em solução de NH4OH como forma de afinamento do canal para dispositivos Junctionless-FET
- Author
-
Lucas Stucchi-Zucchi, Diniz, José Alexandre, 1964, Manêra, Leandro Tiago, Teixeira, Ricardo Cotrin, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Silicon ,Transistor ,Nanoeletrônica ,Nanoeletronics ,Microeletronics ,Silício ,Microeletrônica - Abstract
Orientador: José Alexandre Diniz Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: A indústria da nanoeletrônica é símbolo da inovação tecnológica e está no cerne dos sistemas de informações modernos. Após décadas de inovações em miniaturização e melhoramentos na configuração tradicional dos dispositivos MOSFET (Metal-Oxide-Semiconductor Field-Effect-Transistor), novos dispositivos precisam ser estudados. Em meio a esses novos dispositivos, o Transistor de Efeito de Campo Sem Junções (Junctionless-Field-Effect-Transistors, ou JL-FET) se destaca devido ao seu menor custo e complexidade de fabricação, ao mesmo tempo que apresenta melhorias em características centrais ao funcionamento do dispositivo, como a corrente quando ligado e quando desligado, menor atraso de chaveamento e menor subthreshold slope. Para obter dispositivos JL-FET compatíveis com aplicações digitais, o canal do dispositivo deve ser fino o suficiente para que todos os portadores de carga estejam depletados para uma tensão de porta nula, isto ocorre quando a espessura é menor do que 100 nm. Neste trabalho, foi estudada a corrosão anisotrópica de silício em solução de NH4OH co-mo forma de afinar estruturas a níveis nanométricos, com foco na fabricação de JL-FETs. Inicial-mente, os dispositivos fabricados com o processo foram simulados numericamente nos ambientes SILVACO Athena e Atlas, para gerar um modelo que auxiliasse no planejamento dos processos propostos. Todos os testes foram feitos em lâminas de silício-sobre-isolante (silicon-on-insulator, ou SOI), inicialmente com 340 nm de silício monocristalino (100) sobre 400 nm de óxido de silí-cio. Primeiro, a corrosão foi caracterizada através de testes já com os padrões necessários para a fabricação dos dispositivos, visto que a taxa de corrosão da solução de NH4OH varia conforme as estruturas expostas. Dispositivos JL-FET foram fabricados utilizando duas maneiras distintas: em uma delas a corrosão de silício em solução de NH4OH ocorre após a definição da região ativa e implantação de dopantes (31P+ ion, dose de 6.1015 atoms.cm-2, e energia de 50 keV), na outra a corrosão de silício em solução de NH4OH ocorre antes de todos os outros processos. Foram obtidos dispositivos com canais com espessuras de 63 nm para o primeiro processo, afinados a partir de estruturas que inicialmente apresentavam 165 nm de espessura. A dopagem do canal nesses dispositivos foi estimada na ordem de 1017atomos/cm3, determinada a partir do método de caracterização Pseudo-MOS, O comportamento observado foi adequado às caracterís-ticas medidas: o dispositivo apresentou contatos Schottky com barreiras de potencial da ordem de 1 V, condizente com a dopagem obtida, e uma tensão de limiar negativa, que também condiz com a dopagem e espessura medidas. Esses resultados foram confirmados pela realimentação dos da-dos obtidos nos modelos de simulação numérica. Foram fabricadas amostras com tempos de corrosão variando entre 50 s e 80 s, utilizando a nova sequência de processos. Sem o efeito da dopagem, este processo apresenta um maior con-trole sobre as taxas de corrosão, permite que sejam fabricados dispositivos pMOS e de maneira geral aumenta a máxima dopagem possível nos dispositivos. Os valores de tensão de corpo que seriam necessários para depletar todos os portadores de carga do canal, V0, foram estimados (en-tre -27 V e -10 V) a partir das medições Pseudo-MOS para todas as amostras fabricadas com o novo processo. Usando estes dados, um fitting foi obtido que representa V0 e sua variação com o tempo de corrosão. Como o V0 indica o quão próximo um dispositivo está de atingir a região de corte, esta curva será usada para guiar os próximos processos de fabricação. Medições ID x VGS mostraram uma melhoria na razão Ion/Ioff conforme o tempo de corrosão aumenta, de 1 nas amostras sem corrosão até aproximadamente 1.13 na amostra corroída por 80 segundos. A transcondutância também apresentou evolução similar, de valores nulos nas amostras sem corrosão a 3.5 µS na amostra corroída por 80 segundos. Concluindo, a corrosão anisotrópica de silicio em solução de hidróxido de amônio (NH4OH) foi desenvolvida para a fabricação de dispositivos JL-FET com espessura de 63 nm na região de canal, esse processo é necessário pois os dispositivos necessitam dimensões menores do que 100 nm. Este tipo de corrosão é acessível e barato, apresenta uma taxa de corrosão desprezível para o óxido de mascaramento e não causa contaminação com íons ou outros materiais no substrato de silício Abstract: The industry of nanoeletronics is a symbol of technological innovations and one of the cores of modern-day information systems. After decades of innovations in miniaturizing and improving the traditional inversion-type MOSFET device, its once thought to be unbound potential seems to be arriving at its limits. Among the new devices proposed to sustain the historical increase in computing power and efficiency, the Junctionless-Field-Effect-Transistor (JL-FET) stands out as an alternative that can lower the cost and complexity of fabrication, while at the same time improving key figures such as on and off current, switching delay and subthreshold slope. To achieve JL-FET devices that are compatible with state of the art switching applications, the device channel must be thin enough to enable full charge carrier depletion for null gate volt-age, usually a few dozen nanometers. In this work, the silicon anisotropic etching in NH4OH solution was developed as means to thin structures to the required thicknesses for JL-FET fabrication. Initially, the devices were simulated numerically on SILVACO Atlas and Athena environments, so as to generate a numeri-cal model that could help on planning and implementing the proposed processes. Every test was carried out in 340 nm silicon (100) over 400 nm Silicon Dioxide Silicon-On-Insulator (SOI) wa-fers. Building on previous works that measured minimum etch rate at 2.5 nm/s for the specific structures, JL-FET devices were fabricated by two distinct processes. In the original process the silicon etching in NH4OH solution took place after the active region is already defined and etched and after ion implantation (31P+ ion, dose of 6.10^15 atoms.cm-2 , and energy of 50 keV) was carried out to achieve the channel doping. An updated process was proposes, in which the NH4OH solution silicon etching takes place before any other process, among the advantages of this process flow, the structures can be characterized optically midway through the fabrication and the etching rate becomes even for both pMOS and nMOS devices. Devices with channel thickness of 63 nm were fabricated using the original process, thinned from 165-nm-thick SOI layers. The dopant concentration on the channel region was estimated at approximately 1017 atoms/cm3, obtained by the Pseudo-MOS characterization technique. The device presented Schottky electrical contacts with potential barriers of approximately 1 V and also presented a negative threshold voltage, due to the dopant concentration and thickness of the channel. These results were confirmed by feeding the obtained data back in the numeric simu-lation models. Samples with etching times between 50 s to 80 s were fabricated using the updated process, alongside unetched samples. Without the doping effect, this process presents an improved control over the etching rates, enables the fabrication of pMOS devices and an overall larger dopant concentration on the devices. The voltage necessary to deplete every charge carrier in the channel, V0, were estimated (between -27 V and -10 V) using the Pseudo-MOS measurements for all the samples fabricated using the updated process. Using this data, a fitting was performed to obtain a V0 versus etching time plot. As V0 is closely related to the ability of the transistor to achieve cut-off, this figure will be used to guide future fabrication efforts. ID x VGS measurements also showed increased Ion/Ioff ratios as the etching time increases, from 1 in the unetched sample, to approximately 1.13 in the sample etched for 80 seconds. The transconductance also presented similar evolution, ranging from virtually null on the unetched samples, to approximately 3.5 µS on the sample etched for 80 seconds. In conclusion, we developed the anisotropic etching of silicon in an ammonium hydroxide (NH4OH) solution as a way to allow the fabrication of JL-FET devices, with channel thickness up to 63 nm, because these devices require dimensions thinner than 100 nm. This kind of etching is accessible and cheap, presents almost negligible etching rate to the oxide hardmask used to define the etched regions and does not cause the introduction of contaminating ions and materials on silicon substrate Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica CAPES 88882.329374/2019-01
- Published
- 2019
80. Processo de microfabricação de dispositivos microfluídicos passivos e ativos
- Author
-
Silva Junior, Salomão Moraes da, 1986, Swart, Jacobus Willibrordus, 1950, Moshkalev, Stanislav, 1952, Manêra, Leandro Tiago, Diniz, José Alexandre, Teixeira, Ricardo Cotrin, Seabra, Antonio Carlos, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Vrije Universiteit Brussel, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Microsensores ,Microfabricação ,Silicon - Etching ,Microsensors ,Microfluidics ,Microfluidic devices ,Microfabrication ,Fluxo laminar ,Microfluídica ,Dispositivos microfluídicos ,Silício - Corrosão ,Laminar flow - Abstract
Orientadores: Jacobus Willibrordus Swart, Stanislav Moshkalev Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação em cocutela com Vrije Universiteit Brussel Resumo: O processo de miniaturização permite reações de misturas líquidas e análises mais rápidas, em volumes menores, com dispositivos portáteis e mais confiáveis. Os principais desafios estão na fabricação com alta precisão e integração de microcanais com sensores. Nós desenvolvemos protocolos completos de obtenção de microcanais com atuadores e sensores integrados, envolvendo técnicas convencionais de microfabricação e caracterização compatíveis com instalações em sala limpa. Resultando em dispositivos fabricados em silício, vidro e polidimetilsiloxano (PDMS), com microcanais com largura entre 100 e 458 µm, profundidade entre 20 e 64 µm. Apresentamos novas metodologias para aplicações em Lab-On-a-Chip (LOC): 1) Sistema com microcanais integrados a um sensor capacitivo. 2) Misturador ativo com atuadores eletromagnéticos em microcanais. 3) Misturador passivo com sensor terahertz acoplado, para controle de concentração de etanol sob demanda. Apresentamos o sistema microfluídico completo de geração de micro gotas de água/óleo e micros sensor capacitivo para detecção e controle de volume e velocidade de gotas de até 1mm de comprimento. Apresentamos misturador ativo, com membrana de PDMS integrada com atuadores eletromagnéticos, estabelecendo a correlação entre a melhoria de mistura líquida e a frequência de oscilação. Apresentamos sensor sub-THz acoplado a plataforma microfluídica com medições não invasivas, sem contato e livre de rótulos para a determinação da concentração de etanol e controle sob demanda. Demonstramos sensoriamento on-line operando a 60 GHz, com faixa dinâmica de 2,79 dB, controle da concentração de etanol com variação de 0,32% (v / v) e micro misturador passivo com canais curvos operando em fluxo laminar, com número de Reynolds 25700. Em adição, apresentamos um estudo preliminar com simulação de método de elementos finitos (MEF) em comparação com modelos teóricos. Apresentamos a caracterização de fluidos e a aplicação de dispositivos microfluídicos Abstract: The miniaturization process allows reactions of liquid mixtures and faster analyzes, in smaller volumes, with portable and more reliable devices. The main challenges are in the manufacturing with high precision and integration of microchannels with sensors. We have developed complete protocols for obtaining microchannels with integrated actuators and sensors, involving conventional microfabrication and characterization techniques compatible with clean room facilities. Resulting in devices fabricated in silicon, glass and polydimethylsiloxane (PDMS), with microchannels widths between 100 and 458 ?m, depth between 20 and 64 µm. We present new methodologies for Lab-On-a-Chip (LOC) applications: 1) System with microchannels integrated to a capacitive sensor. 2) Active mixer with electromagnetic actuators in microchannels. 3) Passive mixer with coupled terahertz sensor for control of concentration of ethanol on demand. We present the complete microfluidic system for the micro droplets generation of water/oil and micro capacitive sensor, for detection and control of volume and droplet velocity up to 1mm in length. We present an active mixer, with integrated PDMS membrane with electromagnetic actuators, establishing the correlation between the liquid mixture improvement and the oscillation frequency. We present sub-THz sensor coupled to microfluidic platform with non-invasive, contactless and label-free measurements for determination of ethanol concentration and control on demand. We demonstrated on-line sensing, operating at 60 GHz, with a dynamic range of 2.79 dB, ethanol concentration control with a variation of 0.32% (v / v) and passive micro-mixer with curved channels operating in laminar flow with number of Reynolds 25700. In addition, we present a preliminary study with simulation of finite element method (FEM) in comparison with theoretical models. We presented the fluid characterization regime and application of microfluidic devices Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica FAPEAM 001/2014
- Published
- 2019
81. Field effect transistors based on graphene micro ribbons defined by photolithography and oxygen plasma etching
- Author
-
Fernando Cesar Rufino, Diniz, José Alexandre, 1964, Pascon, Aline Maria, 1977, Manêra, Leandro Tiago, Silva, Cecília de Carvalho Castro e, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Photolithography ,Transistores de efeito de campo ,Grafeno ,Field effect transistors ,Graphene ,Fotolitografia - Abstract
Orientadores: José Alexandre Diniz, Aline Maria Pascon de Marque Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Neste trabalho, foram fabricados transistores de efeito de campo baseados em grafeno (GraFETs), com o canal de condução de corrente com 10 fitas e largura de 0,36 µm cada em paralelo, utilizando as etapas do processo de litografia e corrosão por plasma de oxigênio. Além disso, filmes alternativos, como TiO2, como dielétrico de porta, e TiN, como eletrodos metálicos, foram usados nesses dispositivos. A espectroscopia Raman, utilizada para identificar a integridade da camada de grafeno CVD (do inglês, Chemical Vapor Deposition - Deposição Química em Fase de Vapor) durante a fabricação, indicou que o canal de grafeno é formado por uma estrutura monocamada de alta qualidade, o que é uma característica importante para obter dispositivos GraFET. As análises SEM (do inglês, Scanning Electon Microscopy ou MEV ¿ Microscopia Eletrônica de Varredura), usadas para identificar as superfícies do dispositivo, indicaram que é possível obter o canal GraFET com 10 fitas de grafeno em paralelo. Para finalizar, todas as curvas IDS - VDS (Corrente entre Dreno e Fonte ¿ Tensão entre Dreno e Fonte) indicaram que os GraFETs estão funcionando muito bem, pois estas curvas apresentam: i) comportamento ôhmico, pois para VDS igual a 0 V, o IDS é 0 A para todas as medições (para todos os valores de VGS (Tensão entre Porta e Fonte)), indicando ausência de corrente de fuga; ii) comportamento ambipolar, característica típica dos GraFETs, pois essas curvas apresentam condução em polarizações negativa e positiva. As curvas IDS e transcondutância (gm) versus tensão VGS, medida em VDS = 0,5 V, apresentam, novamente, o comportamento ambipolar e revelam que o grafeno está minimamente, pois VDirac = 0. Para a curva gm-VGS, o valor máximo de transcondutância de 0,3 µS foi medido em VGS = -10 V, o que corresponde a 0,08 µS/µm, considerando a largura total de 3,6 µm, que é a soma de dez fitas de grafeno (em paralelo), com cada largura de cerca de 0,36 µm, que foi obtido por análise SEM. Todos esses resultados indicam que nosso método de fabricação, no futuro, pode ser usado em tecnologia de dispositivos e circuitos baseados em grafeno Abstract: In this work, Field Effect Transistors based on Graphene (GraFETs) were fabricated, with the current conduction channel with 10 ribbons (width of 0.36 µm each) in parallel, using the process steps of lithography and oxygen plasma etching. Furthermore, alternatives films, such as TiO2, as gate dielectric, and TiN, as metal electrodes, were used in these devices. Raman spectroscopy, used to identify the integrity of the Chemical Vapor Deposition (CVD) graphene layer during the fabrication, indicated that the graphene channel is formed by monolayer structure with high quality, which are important characteristics to get GraFET devices. SEM analyses, used to identify the device surfaces, indicated that it is possible to obtain the GraFET channel with 10 graphene wires in parallel. To finalize, all IDS - VDS (Drain-Source Current ¿ Drain-Source Voltage) curves indicated that GraFETs are working very well, because, these curves present: i) ohmic behavior, because at the VDS equal to 0 V, the IDS is 0 A for all measurements (for all values of VGS (Gate-Source Voltage)), indicating no leakage current; ii) ambipolar behavior, which a typical characteristic of GraFETs, because these curves present conduction in negative and positive condition. IDS and transconductance (gm) versus gate voltage VGS, measured at VDS=0.5 V, again, the ambipolar behavior is presented in these curves and reveal that the graphene is not doped, since VDirac = 0. For the gm-VGS curve, a maximum transconductance value of 0.3 µS was measured at VGS = -10 V, which corresponds to 0.08 µS/µm, considering the total width of 3.6 µm, which is the sum of ten graphene wires (in parallel), with each width of about 0.36 µm, that was obtained by SEM analysis. All these results indicate that our fabrication method, in the future, can be used in device and circuit technology based on graphene Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica CAPES 1695508
- Published
- 2019
82. Stress-Sensing-Chip for silicon integrated circuits and packaged electronic devices
- Author
-
Jose Luis Ramirez Bohorquez, Fruett, Fabiano, 1970, Diniz, José Alexandre, Kretly, Luiz Carlos, Teixeira, Ricardo Cotrin, Noije, Wilhelmus Adrianus Maria Van, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Sistemas microeletromecânicos ,Estresse mecânico ,Microsensores ,Mechanical stress ,Piezoresistivity ,Mircosensors ,Integrated circuits ,Circuitos integrados ,Microtechnology and MEMS ,Piezoresistividade - Abstract
Orientador: Fabiano Fruett Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: A deformação da rede cristalográfica de um semicondutor gera variações em características elétricas importantes dos semicondutores, incluindo a mobilidade de portadores, impactando, assim, as características e os parâmetros de operação dos circuitos integrados. A deformação é causada pelo estresse mecânico remanescente, o qual é induzido na fabricação e, principalmente, através do processo de empacotamento. Sensores que podem ser integrados na superfície dos dispositivos são uma excelente ferramenta para quantificação tanto do estresse gerado, quanto da relação deste com as variáveis presentes no processo de empacotamento. Um tal processo possibilita identificar pontos críticos e reduzir o estresse, aumentando a produtividade final. Apresentamos neste trabalho uma série de piezo-transdutores octogonais baseados no efeito piezo-resitivo do silício, fabricados usando uma tecnologia CMOS comercial (XFABc06 ), que foram projetados para identificar os principais componentes do estresse mecânico paralelo à superfície ativa de circuitos integrados de silício. Como os dispositivos são totalmente compatíveis com a tecnologia CMOS, são excelentes ferramentas para identificar e mapear o estresse de forma não invasiva. Todo o projeto dos sensores foi baseado no efeito piezo-resistivo do silício e sustentado tanto em simulações de circuitos elétricos, quanto em simulações multi-fisícas de modelos de elementos finitos (FEM), usando ferramentas computacionais de projeto, como CADENCE Virtuoso® e COMSOL Multyphysic®. O primeiro modelo de sensor de estresse apresentado integra piezo-resistores com 4 orientações diferentes, em um único dispositivo octogonal, sendo denominado como sensor piezo-resistor de oito terminais (8TSP - Eight Terminal Silicon Piezotransducer). O sensor foi fabricado usando as mesmas camadas requeridas para um resistor de difusão tipo-n, e apresenta uma resposta em tensão bastante linear com o estresse. Ao polarizarmos o dispositivo em diferentes orientações foi possível estimar a orientação e magnitude do estresse uniaxial. Fontes de referência, multiplexadores analógicos e um circuito para compensar a deriva térmica foram implementados para construir uma solução completa para mapear o estresse. Um CHIP de teste de estresse com 32 destes dispositivos foi fabricado e usado para determinar o estresse em uma montagem de teste. Com os resultados da caracterização do primeiro protótipo, desenvolvemos uma segunda geração, substituindo os resistores por transistores piezoMOS. A fim de projetar um sensor de estresse mais compacto e com um consumo menor, integramos espelhos de corrente onde os transistores foram posicionados, obedecendo à diferentes orientações. Dois modelos foram fabricados usando transistores PMOS e NMOS. Os sensores apresentaram uma saída em corrente, a qual se mostrou menos susceptível ao ruído, podendo ser facilmente operada usando espelhos de corrente. Fontes de referência, portas de transmissão e um ADC de 1-bit foram integrados para construir uma solução completa. Um CHIP de teste de estresse com 64 destes dispositivos foi fabricado e usado para determinar o estresse em uma montagem de teste Abstract: Strain in the crystal lattice of a semiconductor material generates a drift in important electrical characteristics of the semiconductors, including the mobility of carriers and intrinsic carrier concentration, which also impacts the characteristics and operating parameters of the integrated circuits (ICs). This deformation is caused by the remaining mechanical stress, a random stress that is induced by fabrication process and, mainly, by the electronic packaging. In order to detect stress-related problems, sensors that can be integrated in the surface of the devices are an excellent tool to quantify the stress generated and its relation with the variables present in the packaging process, which allows to identify critical points and reduce stress, thus increasing the final yield and reliability of the devices. A series of piezo-transducers based on the piezo-resistive effect of silicon are introduced in this work, those devices were manufactured using commercial CMOS technology (XFABc06 ), and they are specially designed to study the main components of the in-plane mechanical stress along the active surface of silicon ICs. Because the devices are fully compatible with CMOS technology, they are non-invasive tools for identifying and mapping stress. The designs are based on the mathematical model of piezo-resistive effect in silicon and they are supported on electrical circuit simulations and multi-physics finite element models simulations using computational design tools such as CADENCE Virtuoso® and COMSOL Multyphysic®. The first stress sensor presented integrates piezo-resistors with 4 different orientations into a single octagonal device, so it is denominated as 8TSP - Eight Terminal Silicon Piezotransducer. The sensor was fabricated using the same layers required for a n-type diffusion resistor, and presents a fairly linear stress response. It was possible to estimate the orientation and magnitude of the uniaxial stress with a single device biased different orientations. A BandGap Reference generator, analog multiplexers and a circuit to compensate for thermal drift were integrated with the sensor to deliver a complete solution. A Stress-test CHIP with 32 of these devices was manufactured and used to map the stress along the CHIP. With the results from the characterization of the first prototype, we developed a second generation of the stress sensor, replacing the resistors by piezoMOS transistors. We integrate current mirrors, in which the transistors are positioned with different orientations to design a sensor of stress more compact and with a lower power consumption. Two models were fabricated using PMOS and NMOS transistors. The sensors have a current output, which is less susceptible to noise and can be easily operated using current mirrors. Reference current generator, current mirrors, transmission gates and a 1-bit ADC were integrated to build a complete solution. A CHIP stress test with 64 of these devices was manufactured and used to determine stress in a test set Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2019
83. Humidity sensor based on zeolite for application under environmental conditions
- Author
-
Alcantara, Glaucio Pedro de, 1974, Fruett, Fabiano, 1970, Andrade, Cid Marcos Gonçalves, Diniz, José Alexandre, Manêra, Leandro Tiago, Yoshioka, Ricardo Toshinori, Machado, Nadia Regina Camargo Fernandes, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Zeolite ,Zeolitas ,Humidity ,Umidade relativa ,Sensor - Abstract
Orientadores: Fabiano Fruett, Cid Marcos Gonçalves de Andrade Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este trabalho mostra o desenvolvimento dois diferentes sensores de umidade baseados em zeólitas. No primeiro caso, os sensores capacitivos interdigitais (IDC-S) foram fabricados sobre a superfície de substratos cerâmicos de Al2O3, utilizando distâncias entre eletrodos de 20 ?m e foram revestidos com filmes de zeólita do tipo LTA (Linde Tipo A) com relação Si/Al de 1,28. Espectroscopia de impedância complexa (IS) foi utilizada para medir a resposta do sensor, que foi relacionada com a variação na capacitância dos eletrodos interdigitais e, por sua vez, com as propriedades elétricas das zeólitas. Os sensores baseados em zeólitas foram caracterizados em termos dos efeitos das variações na umidade e temperatura na resposta do sensor. Os resultados mostraram que este sensor proporcionou mudanças de capacitância detectáveis em teores de água muito baixos (até 300 ppmv de H2O em N2), em uma faixa de temperatura variando de 25 a 100 °C, sendo, portanto, adequado para medições de traços de umidade, o qual apresentou (em 25 °C) uma variação relativa na capacitância do sensor de 13,04 %, em comparação aos 22,12%, obtidos de um sensor capacitivo baseado em zeólita A com relação Si/Al = 1,5, descrito na literatura. No segundo caso, foi feita a avaliação de um sensor de umidade baseado na zeólita ZSM-5 (Zeolite Socony Mobil-5). Os sensores capacitivos interdigitais foram fabricados sobre a superfície de substratos cerâmicos de Al2O3, com distância entre eletrodos de 20 ?m, e foram revestidos com filmes de ZSM-5. Os resultados indicaram que o sensor apresentou um bom desempenho (limite de detecção de ~ 7,32% RH) e ainda se mostrou adequado para uso em um amplo intervalo de condições ambientais (~ 39% RH - 96% RH). O sensor desenvolvido neste trabalho demonstra, portanto, uma melhoria no limite de detecção, em comparação com sensores baseados em outros materiais tais como poliamida (limite de detecção de 20% de RH) e TiO2 (limites de detecção de 10% a 30% de RH). Além disso, destaca-se como principal contribuição deste trabalho, o fato de que o sensor capacitivo de umidade proposto nesta tese, o qual foi projetado e desenvolvido utilizando-se Zeólita ZSM-5 como camada de detecção, ter apresentado uma sensibilidade de 73,7 mV/%RH dentro de uma faixa de umidade relativa de 39 a 96%RH, figurando assim uma posição de destaque junto ao rol dos sensores de umidade capacitivos do estado da arte, face ao, por exemplo, sensor de umidade baseado em óxido de grafeno, onde foi obtido uma sensibilidade de 28,4 mV/%RH, dentro de uma faixa de umidade relativa de 30 a 90%RH Abstract: This work presents the development of two different zeolite-based moisture sensors. In the first case, interdigital capacitive sensors (IDC-S) were fabricated on the surface of Al2O3 ceramic substrates, using electrode gaps of 20 ?m, and were coated with films of LTA-type (Lind Type A) zeolite with Si/Al ratio of 1.28. Complex impedance spectroscopy (IS) was used to measure the sensor response, which was related to the change in capacitance of the interdigital electrodes and, in turn, to the electrical properties of the zeolites. The zeolite-based sensors were characterized in terms of the effects of changes in humidity and temperature on the sensor response. The results showed that this sensor provided detectable capacitance changes at very low water contents (up to 300 ppmv of H2O in N2), at temperatures ranging from 25 to 100 °C, and was therefore suitable for moisture trace measurements, which presented (at 25°C), a relative change in sensor capacitance of 13.04%, compared to 22.12%, obtained from a capacitive sensor based on zeolite A with Si / Al ratio = 1.5, which has been described by literature. In the second case, evaluation was made of a humidity sensor based on ZSM-5 (Zeolite Socony Mobil - 5) zeolite. Interdigital capacitive sensors were fabricated on the surface of Al2O3 ceramic substrates, with electrode gaps of 20 ?m, and were coated with films of ZSM-5. The results have indicated that the sensor has presented a good performance (detection limit of ~7.32% RH) and, besides, it was suitable for use under a broader range of environmental conditions (~39% RH - 96% RH). Thus, the sensor developed in this work therefore demonstrates an improvement in detection limit compared to sensors based on other materials such as polyamide (20% RH detection limit) and TiO2 (detection limits of 10% to 30% of RH). In addition, the main contribution of this work is the fact that the capacitive humidity sensor proposed in this thesis was designed and developed using Zeolite ZSM-5 as a detection layer, which presented a sensitivity of 73.7 mV /% RH within a relative humidity range of 39 - 96% RH, thus, placing a prominent position next to the list of state-of-the-art capacitive humidity sensors, for example, oxide-based humidity sensor of graphene, where a sensitivity of 28.4 mV /% RH was obtained, within a relative humidity range of 30 - 90% RH Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2018
84. Formation and characterization of hydrogenated amorphous silicon films deposited by ECR-CVD
- Author
-
Alvarez, Hugo da Silva, 1989, Diniz, José Alexandre, 1964, Côrtes, Andresa Deoclidia Soares, Manêra, Leandro Tiago, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Silício amorfo ,Raman Spectroscopy ,Microelectronics ,Células fotovoltaicas ,Silicon amorphous ,Photovoltaic cells ,Espectroscopia de infravermelho com transformada de Fourier ,Fourier transform infrared spectroscopy ,Espectroscopia Raman ,Microeletrônica - Abstract
Orientador: José Alexandre Diniz Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este trabalho tem como objetivos obter e caracterizar filmes de silício amorfo hidrogenado (a-Si:H) depositados em temperatura ambiente por um sistema ECR-CVD (Electron Cyclotron Resonance - Chemical Vapor Deposition), com potências de RF (Radio Frequency) de 1W, 3W e 5W. Nestes filmes foram feitas análises de espectroscopia FTIR (Fourier Transform Infrared), para estudo do efeito da variação da potência RF na incorporação, ou concentração, de hidrogênio nos filmes ([H]). Os filmes depositados tiveram uma [H] de 30%, 9,12% e 6,05, para os filmes com 1W, 3W e 5W de RF, respectivamente. Com a análise FTIR, também se verificou a presença de ligações Si-H2, em todos os filmes, e Si-H3 nos filmes de 1W e 3W. A cristalinidade dos filmes foi estudada antes (as-deposited) e depois das etapas sequenciais de implantação de íon de boro (I/I B+) e recozimento térmico rápido (RTA), para tornar o filme do tipo p+, por espectroscopia Raman. Depois da I/I B+ e do RTA os filmes mudaram de complemente amorfos para parcialmente cristalinos, com tamanho de grão de 9 ± 44 nm, 7 ± 6 e 14 ± 4 nm para os filmes de 1W, 3W e 5W respectivamente. Com estes filmes, três diferentes técnicas de dopagem do tipo p foram feitas, com o intuito de se verificar a viabilidade de seus usos em células fotovoltaicas. No primeiro conjunto, os filmes foram depositados sobre um substrato de silício cristalino do tipo n++ (n++-c-Si) e dopados do tipo p+ por I/I B+ direta e ativados RTA, criando uma estrutura p+/n++ e com eficiência máxima de cerca de 1,00% para os filmes de 3W e 5W. No segundo conjunto, implantou-se boro através de uma camada de 75 nm de nitreto de silício (SiNx), esperando-se criar uma estrutura do tipo tipo p+/i/n (denominada "pin"), com uma camada intrínseca i entre a p+ do filme e a n do substrato de silício cristalino (n-c-Si). Estes dispositivos tiveram uma eficiência máxima de 0,08%. No terceiro conjunto, os filmes foram dopados do tipo p+ utilizando-se difusão de alumínio a baixa temperatura e caracterizados eletricamente por fotodiodos e células fotovoltaicas. Nos fotodiodos, obteve-se uma eficiência máxima de 23,2% para o filme de 1W e nas células fotovoltaicas uma eficiência de 0,38% para o filme de 5W Abstract: This work has as objective to obtain and characterize hydrogenated amorphous silicon films (a-Si:H) deposited by a ECR-CVD (Electron Cyclotron Resonance - Chemical Vapor Deposition) system. The films were deposited at room temperature with radio frequency power (RF) of 1W, 3W and 5W. FTIR (Fourier Transform Infrared) analyses were done to study the effect hydrogen incorporation on the films as the RF power varieties. The films had a hydrogen concentration of 30%, 9.12% and 6.05% for the 1W, 3W and 5W RF power, respectively. With this FTIR analyses, the presence of Si-H2 bonds were verified on all the films deposited, and, also, the presence of Si-H3 bonds on the 1W and 3W films. The films crystallinity was studied as-deposited and after the boron ionic implantation (I/I B+) and rapid thermal annealing (RTA), by Raman spectroscopy. These sequential steps were done to dope the films p+ type. After the I/I B+ and RTA the films changed from totally amorphous to partially, with grain size of 9 ± 44 nm, 7 ± 6 and 14 ± 4 nm for the 1W, 3W and 5W RF power films, respectively. With these films, three kinds of p doping where done to verify their use on photovoltaic cells. In the first set, the films were deposited on a n++ silicon crystalline substrate (n++-c-Si) and doped p+ type by direct I/I B+ and activated by RTA, creating a p+/n++ structure and efficiency about 1.00% for the 3W and 5W films. In the second set, the boron was implanted through a 75 nm silicon nitrate (SiNx) barrier. With this, it was expected to create p+/i/n (called as "pin") structure, with an intrinsic layer i between the p+, on the film, and the n crystalline silicon (n-c-Si) used as substrate. In this set, the photovoltaic cells had a maximum efficiency of 0.08%. In the third set, the films were doped p+ by aluminum diffusion at low temperature. The electrical characterization of the films was made by photodiodes and photovoltaic cells. In the photodiodes, a maximum efficiency of 23.2% was reached for the 1W film, and a maximum efficiency of 0.38% for the 5W film in the photovoltaic cells Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica CNPQ 132542/2016-1 CAPES
- Published
- 2017
85. Numerical modeling of microfluidic through the smoothed particle hydrodynamics mesh-free lagrangian method
- Author
-
Edgar Andres Patiño Nariño, Ferreira, Luiz Otavio Saraiva, 1956, Pavanello, Renato, Diniz, José Alexandre, Cotta, Carolina Palma Naveira, Paiva Neto, Afonso, Universidade Estadual de Campinas. Faculdade de Engenharia Mecânica, Programa de Pós-Graduação em Engenharia Mecânica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Microfluidic ,Fluidodinâmica computacional ,Mechanics of fluids ,Computational fluid dynamics (CFD) ,Mecânica dos fluidos ,Microfluídica - Abstract
Orientador: Luiz Otávio Saraiva Ferreira Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Mecânica Resumo: O transporte controlado de pequenas quantidades de fluidos é fundamental para desenvolver os laboratórios químicos em um chip (Lab-On-a-Chip, ou LOC, em inglês), ou seja, sistemas miniaturizados de crescente utilização na análise em áreas como Química, Bioquímica, Farmácia e Biologia, que tendem a substituir os atuais equipamentos analíticos. Os microdispositivos são essenciais para o transporte controlado e preciso de fluidos. Porém, ainda não foi desenvolvida uma metodologia para o cálculo do comportamento de fluidos em micro-dispositivos, existindo assim uma demanda por modelos numéricos capazes de realizá-lo. Esse trabalho apresenta a implementação do método sem malha Smoothed Particle Hydrodynamics (SPH) no desenvolvimento de um simulador 2D para problemas de escoamento de fluidos em micro-dispositivos. O simulador foi programado na linguagem C/C++ para processamento em CPU e na linguagem CUDA-C para processamento em GPU. O estudo da formulação SPH incluiu fenômenos como tensão superficial, multi-fase, capilaridade e molhabilidade para problemas com interação fluido-fluido e fluido-estrutura. As etapas de desenvolvimento do simulador computacional foram: Revisão de métodos de partículas Lagrangianos sem malha elegíveis para a modelagem da interação fluido-estrutura em micro-sistemas; Metologia e formulação das equações constitutivas para a descrição do comportamento do fluido, da estrutura e da interação fluido-estrutura usando SPH; Implementação de fenômenos caraterísticos para micro-fluídica como multi-fase (líquido-líquido) e tensão superficial e capilaridade; E modelagem numérica de microdispositivos para caso de estudo em micro-válvulas e micro-bomba peristáltica. Todas as implementações das formulações no simulador foram validadas através da comparação com resultados da literatura e da experimentação. Assim, o principal objetivo desse trabalho é apresentar o método SPH como uma alternativa na modelagem numérica de fluidos com interação líquido-líquido e líquido-estrutura em problemas de micro-fluídica Abstract: Controlled transport of small amounts of fluids is critical for Lab-On-a-Chip, miniaturized systems of increasing use of chemical, biochemical, pharmaceutical and biological analyzes that tend to replace current analytical equipment. Micro-Devices are essential for controlled and accurate transport of fluids. However, a methodology for the calculation of fluid behavior in micro-devices has not yet been developed, and there is a demand for capable numerical models. This work presents the implementation of the Smoothed Particle Hydrodynamics (SPH) meshless method in the development of a 2D simulator for fluid flow problems in micro-devices. The simulator was programmed in the C/C++ language for CPU processing and CUDA-C language for GPU processing. The study of SPH formulation included phenomena such as surface tension, multi-phase, capillarity and wettability between fluid-fluid and fluid-structure. The steps of development of the computational simulator were: Review of non-mesh lagrangean particle methods eligible for modeling of fluid-structure interaction in micro-systems; Metology and formulation of constitutive equations for the description of fluid, structure and fluid-structure behavior using SPH; Implementation of micro-fluidic phenomena such as multi-phase (liquid-liquid) and surface tension and capillarity. All implementations of formulations and simulator validated by comparing results in literature and experimentation. Thus, the main objective of this work was to demonstrate SPH as a tool in the numerical modeling of fluids in liquid-liquid interaction and liquid-structure for the problems involved in microfluidic and micro-devices Doutorado Mecânica dos Sólidos e Projeto Mecânico Doutor em Engenharia Mecânica FAPESP 2012/21090-5
- Published
- 2017
86. Novos métodos de nanofabricação utilizando escrita direta sem máscara para aplicações em spintrônica, memória e armazenamento magnéticos não voláteis
- Author
-
Santos, Marcos Vinicius Puydinger dos, 1987, Diniz, José Alexandre, 1964, Béron, Fanny, 1980, Sinnecker, João Paulo, Teixeira, Ricardo Cotrin, Pirota, Kleber Roberto, Riul Júnior, Antonio, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Nanowires ,Nanofabricação ,Dielectrophoresis ,Magnetism ,Spintrônica ,Spintronics ,Magnetismo ,Nanofabrication ,Nanofios ,Dieletroforese - Abstract
Orientadores: José Alexandre Diniz, Fanny Béron Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Ao longo dos últimos 60 anos, a área ocupada por bits magnéticos em dispositivos de armazenamento reduziu em aproximadamente 9 ordens de magnitude. Atualmente, ela é tão reduzida que as tecnologias necessárias para os processos de leitura e escrita com razoáveis relação sinal-ruído e reprodutibilidade estão atingindo limites fundamentais, e, consequentemente, tornando o processo muito caro e de difícil execução. Portanto, a indústria de armazenamento de dados tem realizando grande empenho no desenvolvimento de novos conceitos para armazenamento de grandes volumes de memória de dados de uso infrequente, combinando, assim, o melhor de dois cenários: baixo custo, baixa volatilidade e alta densidade de armazenamento (como em discos rígidos); robusto e de rápido acesso (como em memórias do tipo Random Access Memory, RAM). Nesse sentido, um grande número de alternativas foi recentemente sugerido, incluindo dispositivos baseados em spintrônica, tais como a memória denominada racetrack memory. Esse dispositivo de estado sólido baseado em nanofios não possui partes móveis, tampouco requer a aplicação de campos magnéticos externos para os processos de escrita ou leitura de dados. A ca-pacidade de armazenamento e a taxa de transferência de dados são superiores em comparação com discos rígidos convencionais. Entretanto, essa tecnologia irá requerer ferramentas do tipo top-down de corrosão, deposição e litografia mais complexas e caras, assim limitando seu nicho de usuários. Alternativamente, abordagens do tipo bottom-up - nos quais nanofios são previamente sintetizados por via física ou química e, posteriormente, montados em dispositivos integrados - têm sido implementadas nos últimos anos em protótipos como alternativa para redução de custos. Nessa perspectiva, a dieletroforese (DEF) representa uma técnica adequada para o alinhamento e deposição de nanofios de maneira simultânea sobre eletrodos previamente definidos em larga escala, permitindo a deposição em um único passo. Todavia, experimentos de DEF utilizando nanofios ferromagnéticos de níquel apresentaram um rendimento relativamente baixo, inviabilizando a aplicação dessa técnica em escala industrial e tornando-a interessante apenas para a construção de protótipos. Por outro lado, outras técnicas de deposição baseados em escrita direta sem a necessidade de mascaras, tais como a deposição induzida por feixe de elétrons (FEBID), também permitem a definição de nanofios, além de representar uma vantagem sobre os processos convencionais de litografia de múltiplas etapas. Não obstante, depósitos metálicos feitos por FEBID tipicamente contêm resíduos da dissociação incompleta de moléculas do precursor organometálico ou da co-deposição de seus fragmentos orgânicos. Isso degrada as propriedades de transporte elétrico dos depósitos, assim limitando a aplicabilidade da maioria dos materiais depositados por FEBID. Neste trabalho, entretanto, foi desenvolvido um método inovador e altamente reprodutível de purificação in situ de materiais previamente depositados por FEBID, sendo baseado em sinterização pós-deposição em vácuo. As propriedades de transporte elétrico dos depósitos podem ser ajustadas para valores próximos do metal puro. Além disso, foram observadas melhorias das pro-priedades de transporte magneto-elétrico. Os valores superiores de magnetorresistência obtidos para o cobalto e ligas de ferro-cobalto (1 ordem de grandeza em relação a inúmeros trabalhos já publicados) mostraram-se vantajosos para aplicações tais como memória e armazenamento magnéticos de alta performance, além de sistemas avançados de varredura por sonda e memristors ferroelétricos de junção tipo túnel. Em suma, esta tese está focada no estudo das presentes limitações que envolvem a densi-dade de armazenamento de dados dos atuais dispositivos e propõe alternativas de fabricação viá-veis de única etapa e sem a necessidade de uso de litografia e máscaras, que podem ser utilizadas para diretamente definir nanofios ferromagnéticos como elementos de memória e armazenamento magnéticos. Ambas as técnicas DEF e FEBID podem ser pensadas como alternativas para esse propósito. Por um lado, a DEF cobre dimensões em larga escala, embora com baixo rendimento, enquanto o alto rendimento da técnica FEBID, em combinação com a automatização no sentido de fabricar em larga escala (como na DEF), torna esta uma técnica de fabricação adequada para a futura indústria de dispositivos de memória e armazenamento magnéticos Abstract: Over the past 60 years, the area occupied by magnetic bits has decreased in size by about nine orders of magnitude. Nowadays, it is so tiny that the technologies needed to read and write bits with a reasonable signal-to-noise ratio and reliability are reaching fundamental limits that are difficult or too expensive to overcome. Therefore, the information storage industry is presently making large efforts to develop new concepts for storing large volumes of infrequently accessed data memory that combine the best of both worlds: cheap, non-volatile, high-density information storage, as in hard disk drives, and robust, fast access, as in random access memories (RAMs). In this sense, a number of alternatives have been recently suggested, which include spintronic-based devices, like the nanowire-based racetrack memory (or so-called domain-wall memory, DWM). This nanowire-based solid-state device has no moving parts and does not require any external magnetic field for storing/reading data. The data capacity and transfer rates are expected to be superior to those in hard disk drivers. Nevertheless, this technology will require more complex and expensive multistep top-down corrosion, deposition and lithography fabrication tools, thus limiting the target customers. Alternatively, bottom-up approaches - in which nanowires can be first chemically/physically synthesized and then self-assembled to yield a given target integrated layout - have been recently implemented in prototypes as alternatives to reduce fabrication costs. In this per-spective, dielectrophoresis (DEP) represents a technique that meets the requirements for nan-owires alignment and deposition onto predefined electrodes in the wafer-scale area, which allows simultaneous deposition in a single step. However, DEP experiments carried out using ferromagnetic nickel nanowires showed a relatively low yield that impairs its applicability in industrial scale, thus being limited for prototyping purposes. On the other hand, other maskless direct-write deposition techniques, like focused-electron-beam-induced deposition (FEBID), allow definition of nanowires and also represent an advantage over multistep conventional resist lift-off lithography. Nevertheless, nanoscale metal deposits directly written by FEBID typically contain residues from the incomplete dissociation of the organometallic precursor adsorbate molecules or from codeposition of their nonvolatile organic ligand fragments. This impairs the electrical transport properties of the deposits, which naturally limits the applicability of FEBID materials, constraining the otherwise powerful maskless synthesis paradigm. In this work, however, a novel and highly reproducible in-situ purification mechanism of FEBID materials - based on postgrowth annealing under vacuum - is presented. The electric transport properties of the deposits can be tuned to values close to pure metal. Furthermore, magneto-electric transport properties enhancement is observed. The superior magnetoresistance of cobalt and iron-cobalt deposits (about 1 order of magnitude compared to literature) can be advantageous over the numerous works already published for applications such as high-performance magnetic storage and memory, as well as advanced scanning-probe systems and ferroelectric tunnel junction memristors. In summary, the focus of this thesis was the investigation of the present limitations beyond the storage area densities of storage industry, thus proposing viable single-step maskless fabrication alternatives that could be used to directly define ferromagnetic nanowires as elements for data storage and memory. Both DEP and FEBID techniques can be though as alternatives to this purpose. While DEP experiments cover the wafer-scale dimension, although with low yield, the high yield of FEBID in combination with the possibility of automatization towards fabrication in the wafer-scale, like DEP process, makes it an adequate tool for fabrication of elements for future data storage and memory devices Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica CNPQ 200864/2015-7 CAPES FAPESP
- Published
- 2017
87. Contributions to the development of interdigitated electrodes and electromagnetic actuators with applications in portable microfluidic devices
- Author
-
Ribeiro, Luiz Eduardo Bento, 1987, Fruett, Fabiano, 1970, Richter, Eduardo Mathias, Cruvinel, Paulo Estevão, Diniz, José Alexandre, Manêra, Leandro Tiago, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Sensors ,Válvulas ,Microfluidics ,Eletrodos ,Microfluídica ,Detectores ,Electrodes ,Valves - Abstract
Orientador: Fabiano Fruett Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: O laboratório em um chip (lab-on-a-chip) é um dispositivo microfluídico desenvolvido com a finalidade de realizar análises químicas tradicionais em apenas alguns centímetros quadrados de um único chip. A redução de tamanho possibilita análises químicas mais rápidas, com menor custo, mais confiáveis e portáteis. Os principais desafios desta integração estão na manipulação de pequenas quantidades de fluido (bombeamento), na mistura adequada (misturador) e no sensoriamento das diversas atividades do fluido, como a detecção da proporção e tipo de fluido existente. Portanto, os micromisturadores, as microbombas e os microsensores integrados são unidades básicas e essenciais para o desenvolvimento e viabilização de diversas aplicações dos laboratórios em um chip. Neste contexto, nós desenvolvemos um atuador e um sensor capacitivo interdigitado capaz de avaliar a permissividade elétrica de fluidos dentro de um lab-on-a-chip. A fabricação desses componentes emprega técnicas compatíveis com a microeletrônica e com a microfluídica resultando em dispositivos com substrato de vidro e microcanais em polidimetilsiloxano (PDMS). Propomos um atuador eletromagnético baseado na integração de um ímã permanente de liga de neodímio, ferro e boro (NdFeB) com uma membrana PDMS, enquanto um eletroímã, externo ao dispositivo, foi utilizado para promover o deslocamento do ímã. O atuador, que pode ser utilizado no bombeamento de fluidos em microcanais, foi apresentado e avaliado por meio de simulação e fabricação. Investigamos ainda as vantagens e desvantagens de diferentes morfologias para os eletrodos interdigitados planares com o objetivo de aumentar a sua sensibilidade por área ocupada. Comparada aos eletrodos interdigitados tradicionais, os eletrodos interdigitados em forma de anel, utilizando a mesma área, fornecem um incremento de capacitância de até 30fF. Enquanto a capacitância devido a permissividade do fluido varia na ordem de unidades de femto Faraday. Além de aprimorarem a sensibilidade, os eletrodos em forma de anel são mais adequados a aplicações que exigem gotejamento uniforme de compostos ou substâncias seletivas ao analito alvo. Após a simulação utilizando o método de elementos finitos (FEM), nós detalhamos a caracterização e aplicação dos protótipos do microsensor e dos atuadores. Os resultados da caracterização dos atuadores provaram que sua atuação magnética é adequada para os microssistemas de laboratório em um chip uma vez que são capazes de promover pressões superiores a 700Pa no interior dos microcanais. Além disso, demonstramos que a fabricação utilizada é um método de baixo custo e eficiente para a prototipagem rápida de dispositivos biocompatíveis e descartáveis, uma vez que utiliza materiais inertes e técnicas convencionais da microeletrônica. Finalmente, apresentamos componentes microfluídicos preparados para integração em lab-on-a-chip capaz de bombear, misturar e medir a permissividade de fluidos em microcanais. O circuito de condicionamento proposto para o sensor, alimentado por uma bateria, é capaz de comunicar sem fio com um hardware instalado na porta serial de um computador, garantindo sua portabilidade, enquanto os dados são apresentados por um Instrumento Virtual (IV) desenvolvido em LabView® Abstract: Lab-on-a-chip is a microfluidic device intended to bring traditional chemical analysis on the few square centimeters of a single chip. The miaturization enables faster chemical analysis at lower costs, with more reliable and portable devices. The main challenges of this integration are on manipulating small amounts of fluid (pumping), on the adequate mixing and sensing the proportion and type of the existing fluid. Therefore, micromixers, micropumps and integrated microsensors units are essential for the development and viability of various lab-on-a-chip applications. In this context, we have developed a micropump, a micromixer, and an interdigitated capacitive sensor capable to evaluate the electric permittivity of fluids in a lab-on-a-chip. The manufacture of these components employs techniques compatible with microelectronics and microfluidics, resulting in devices based on glass substrate and polydimethylsiloxane (PDMS) microchannels. We propose electromagnetic actuators integrating neodymium, iron and boron (NdFeB) permanent magnet with PDMS elastomer while an electromagnet, external to the device, was used to perform the displacement of the magnet. The actuator, which can be used for pumping fluids in microchannels was presented and evaluated by simulation, and fabrication. We also investigate the advantages and disadvantages of some planar interdigitated electrodes morphologies in order to increase their sensitivity by occupied area. Compared to traditional interdigitated electrodes, interdigitated ring-shaped electrodes provide a capacitance increment up to 30fF. While the capacitance due to the permittivity of the fluid varies in the range of femto Faraday. In addition, the ring-shaped electrodes are more suitable for applications requiring uniform drip compounds or substances selective to the target analyte. After present the finite element method simulation (FEM), we have shown the characterization and application of microsensor and actuators prototypes. The results of the magnetic actuators characterization have proved that their performance is adequate for lab-on-a-chip microsystems since they are capable of generating pressures greater than 700Pa inside the microchannel. Furthermore, we demonstrated that the fabrication uses an efficient and low cost method for rapid prototyping of disposable biocompatible devices since it uses inert materials and conventional microelectronic techniques. Finally, we show that the microfluidic components are prepared for the lab-on-a-chip integration being capable of pumping, mixing and measuring the permittivity of fluids in microchannels. The sensor conditioning circuit, powered by a battery, is able to communicate wirelessly with a hardware installed on the serial port of a computer, ensuring its portability, while the data is presented in a Virtual Instrument (IV) developed in LabView® Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica CAPES
- Published
- 2016
88. Development of field effect transistor based on Tantalum Nitride electrodes and on Graphene channels transferred by dielectrophoresis (DEP) e by lithography and dry etching
- Author
-
Aline Maria Pascon, Diniz, José Alexandre, 1964, Plentz Filho, Flavio Orlando, Teles, Lara Kuhl, Doi, Ioshiaki, Manêra, Leandro Tiago, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Field effect transistor ,Atomistic simulation ,Grafeno ,Transistores de efeito de campo ,Transistores de efeito de campo de semicondutor de metal ,Transistors metal semiconductor field effect ,Density functional theory ,Funcionais de densidade ,Simulações atomísticas ,Graphene - Abstract
Orientador: José Alexandre Diniz Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: O objetivo deste trabalho é o desenvolvimento de transistores de efeito de campo (Field Effect Transistors - FETs) baseados em grafeno (GraFETs), como canal de condução elétrica, e em nitreto de tântalo (TaN), como eletrodos de fonte e dreno (S/D). Os transistores são do tipo back-gate, em que a estrutura de porta é conectada pelo substrato de Si n+ (que é usado como eletrodo), que está coberto pelo dielétrico de óxido de tântalo (TaOx), que por sua vez, está em contato com o canal de grafeno. Para obter os GraFETs, folhas de grafeno, dispersas em solução líquida N-N-dimetilformamida (DMF) ou N-Methyl-2-pyrrolidone (NMP), ou obtidas por deposição química da fase vapor (Chemical Vapor Deposition - CVD), foram depositadas entre S/D a partir de dois processos distintos, respectivamente: i) por dieletroforese (DEP) de folhas dispersas em solução DMF ou NMP; ou ii) por transferência das folhas obtidas por CVD sobre as amostras, com etapas sequenciais de litografia óptica, de corrosão seca por plasma de oxigênio e de limpeza orgânica. Assim, os dispositivos são identificados como GraFETs baseados em grafeno DEP ou em grafeno CVD, respectivamente, e são obtidos individualmente e integrados em arranjo paralelo de 300 transistores. A integração em escala de centenas de transistores, usando litografia óptica é uma primeira inovação desta tese, pois os principais laboratórios empregam a litografia por feixe de elétrons, o que torna o processo mais lento. Os eletrodos de S/D de TaN, depositados por pulverização catódica (sputtering), foram escolhidos pois são refratários, o que permite executar etapas de recozimento térmico em temperaturas maiores que 400oC, e apresentam função trabalho similar a do grafeno, possivelmente resultando em baixo valor da barreira de potencial (menor que 1 eV) na junção TaN/grafeno. Ambas as características permitem reduzir a resistência de contato entre eletrodo de TaN e grafeno, o que é primordial para o bom desempenho dos GraFETs. Trata-se de outra importante inovação deste trabalho, pois normalmente os eletrodos são de Au ou Pt. Assim, cálculos ab initio, utilizando teoria da densidade funcional (DFT), foram realizados para investigar as propriedades mecânicas e eletrônicas da interface grafeno/TaN a nível atômico. Dois modelos foram considerados, com terminações Ta e N para o ?-TaN(111) com uma, duas, três e quatro camadas de grafeno sobre a superfície do metal. Nos dois casos, as energias de adesão de 1,8 J/m2 e 0,9 J/m2 para as terminações em N e Ta, respectivamente, indicaram aderência do metal ao grafeno. As funções trabalho para ambas as terminações foram calculadas, 6,02 eV e 4,8 eV para as terminações N e Ta, respectivamente, com apenas esta última concordando com valores medidos experimentalmente. Cálculos de interface mostraram que o metal com terminação em Ta é mais transparente à injeção de portadores na interface com o grafeno, uma vez que, nesse caso, não há barreira potencial entre o metal e a primeira camada de grafeno. Comportamento este que não ocorre para a terminação em N, que possui barreira potencial calculada de 2,4 eV. Assim, a terminação em Ta é a mais desejada na interface TaN/grafeno, que resulta em menor resistência de contato, permitindo o funcionamento mais eficiente dos dispositivos. Características elétricas de corrente-tensão (I-V) com comportamento ôhmico (linear) que indica a ausência de uma barreira potencial mostram que os transistores funcionam como esperado, indicando que a interface é rica em Ta nos contatos de S/D. Elas mostram também que os GraFETs com grafenos DEP e CVD alcançaram transcondutâncias máximas de 2,4 mS e 2,5 mS e mínima resistência de contato de 3,4 ? e 4,3 k?, respectivamente. No entanto, os GraFETs fabricados com grafeno DEP apresentaram alto desvio padrão nas medidas elétricas quando comparadas às medidas elétricas dos GraFETs com grafeno CVD. Características físicas dos GraFETs, baseadas em análises de microscopia eletrônica por varredura (SEM) e por espectroscopia Raman, indicaram a obtenção e a integração dos dispositivos, e que o grafeno CVD apresentou melhor qualidade estrutural que o DEP, além de uma melhor uniformidade na transferência das folhas sobre os transistores. Isto permite uma maior integração dos FETs. Vale salientar que os resultados das características físicas são consistentes com as elétricas. Assim, concluiu-se que os transistores baseados em canais de condução elétrica de grafenos CVD e em eletrodos de TaN são promissores para futuros circuitos integrados em substituição aos tradicionais baseados em canais de condução elétrica de Si Abstract: The goal of this work is the development of field effect transistors (FETs) based on graphene (GraFETs), as the electrical conduction channel, and on tantalum nitride as the source and drain electrodes (S/D). In the back-gated transistors proposed, the gate is connected by the Si n+ substrate (used as electrode), covered by a tantalum oxide dielectric (TaOx) layer, which is in contact with the graphene channel. To produce the GraFETs, sheets of graphene scattered in N,N-Dimethylformamide (DMF) or in N-Methyl-2-pyrrolidone (NMP) liquid solutions, or grown by chemical vapor deposition (CVD) were deposited between the S/D from two distinct processes: i) by dielectrophoresis (DEP) of the sheets dissolved in DMF or NMP liquid solutions or ii) by transfer of graphene grown by CVD, with photolithography, O2 plasma etching and organic cleaning, in sequential steps. Then, the devices are identified as GraFETs based on graphene DEP and graphene CVD, respectively, which are built individually and integrated in a parallel array of 300 FETs. The integration scale of hundreds of transistors, using photolithography, is the first innovation of this thesis, since the main laboratories employ e-beam lithography, making the process slower. The S/D TaN electrodes deposited by sputtering were chosen due to the refractory property of TaN, allowing annealing processes in temperatures above 400 °C, and its work function similar to graphene, potentially providing a low potential barrier (< 1 eV) in the TaN/graphene junction. Both characteristics allow a reduced contact resistance between TaN electrode and graphene, which is primordial for GraFETs performance. The choice of metal is another novelty presented in this work because in general simple metals such as Au and Pt are used as electrodes. At the same time, ab initio calculations using density functional theory (DFT) were performed to investigate the structural and electronic properties of the interface graphene/TaN. Two models were considered, with ?-TaN(111) terminated in N and Ta layers and with one (BL), two (1L), three (2L) and four (3L) graphene layers on the metal surface. In both cases, the adhesion energies of 1.8 J/m2 and 0.9 J/m2 for N and Ta metal surface terminations, respectively, indicate adhesion of graphene to metal. The calculated work functions for the N and Ta surface terminations were 6.02 eV and 4.8 eV, respectively, where only the latter agrees with experimental values. Interface calculations showed that the Ta-terminated surface is more transparent to carrier injection at the interface with graphene, since in this case there is no potential barrier between the metal and the first graphene layer. On the contrary, for the N-terminated surface the calculated potential barrier between the metal and the first graphene layer was 2.4 eV. Thus, a Ta-terminated metal surface is more desirable at the TaN/graphene interface, resulting in low contact resistance. I-V characteristics show that the transistors are working properly, suggesting that the metal surface terminations obtained for TaN S/D electrodes are likely composed mostly of Ta atoms. Moreover, the GraFETs fabricated by graphene DEP and graphene CVD reached maximum transconductances of 2.4 mS and 2.5 mS, and minimum contact resistance of 3,4 ? and 4.3 k?, respectively. However, GraFETs fabricated using graphene DEP showed high standard deviation in electrical measures when compared to GraFETs fabricated using graphene CVD. Physical characteristics, based on scanning electronic microscopy and Raman spectroscopy, confirmed the desired construction and the integration of the devices, and that the graphene CVD presents better structural quality than graphene DEP, as well as better uniformity in the graphene transfer process, allowing a denser integration of the FETs. Finally, the physical characteristics are consistent with electrical measurements. Thus, it follows that transistors based on graphene CVD conduction channel and TaN electrodes offer great promisse for future integrated circuits in replacement of traditional Si-based transistors Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutora em Engenharia Elétrica CAPES
- Published
- 2016
89. Fabricação de protótipos de FinFETs usando métodos alternativos
- Author
-
Leonhardt, Alessandra, 1990, Manêra, Leandro Tiago, 1977, Diniz, José Alexandre, 1964, Gendt, Stefan Jozef Emma Alfons De, Swart, Jacobus Willibrordus, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Microelectronics ,Feixes de íons focalizados ,Focused ion beam ,Transistores de efeito de campo ,Field effect transistors ,Microeletrônica - Abstract
Orientadores: Leandro Tiago Manera, José Alexandre Diniz Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este trabalho explora métodos alternativos para fabricação de protótipos de FinFETs. Diferentes métodos de definição de fin (fresagem de máscara de Al por feixe de íon focalizado e litografia por feixe focalizado de íons de gálio) são explorados, buscando flexibilidade na definição do número de fins, bem como a altura dos fins. Diferentes estruturas de porta são aplicados nos FinFETs fabricados, com dois materiais dielétricos diferentes (SiON e TiAlON) e dois métodos diferentes para a formação de TiN como eletrodo de porta. O processo de fabricação detalhado é fornecida e discutido, com especial atenção às dificuldades e desafios enfrentados. Etapas de fabricação são cuidadosamente avaliadas, apresentando detalhes e parâmetros de forma que o processo possa ser replicado. Caracterizações morfológicas e elétricas são realizadas nos FinFETs fabricadas. Com a litografia por feixe focalizado de íons de gálio, FinFETs com nove fins em paralelo são fabricados, com largura de fin até 87nm e comportamento elétrico de transistor. Parâmetros elétricos são extraídos, tais como VTH, inclinação de sublimiar, corrente de fuga, mobilidade de portadores, RSD, função trabalho do eletrodo de porta, EOT, e outros. FinFETs com largura fin abaixo de 100nm são apresentados, com inclinação de sublimiar de 120 mV/dec e moblidade de portadores de 372 cm²/V.s, resultados que mostram uma melhoria em relação a trabalhos anteriores, mas ainda deixam espaço para otimizações. Discussões são realizadas, explicando o significado dos parâmetros extraídos, e formas de melhorar os resultados. As diferentes estruturas de porta são avaliados quanto à estabilidade dos parâmetros e densidade de corrente de fuga. Um EOT de 3.6nm é alcançado para o dieléctrico SiON, com densidade de corrente de fuga entre 177uA/cm² e 0.61mA/cm². Desenvolvimentos importantes são feitos no sentido da integração de processos e inovaçoes em termos de métodos de fabricação de protótipos. Trabalhos futuros incluem melhorias na interface de silício-dielétrico e um processo de fabricação auto alinhado para alcançar uma maior transcondutância e acoplamento entre porta e canal, e reduzir a resistência série Abstract: This work explores alternative methods for FinFET prototype fabrication. Different fin definition methods (Al hard mask FIB milling and Ga+ FIB lithography) are explored, aiming for flexibility in defining the number of fins, as well as fin height. Alternative gate stacks are applied in the fabricated FinFETs, with two different dielectric materials (SiON and TiAlON) and two different methods for TiN gate electrode formation. The detailed fabrication process is provided and discussed, with special attention to difficulties and challenges faced. Fabrication steps are carefully evaluated, presenting details and parameters such as that the process could be replicated. Morphological and electrical characterizations are performed on the fabricated FinFETs. With the Ga+ FIB lithography method, working FinFETs with nine parallel fins are fabricated, with fin width down to 87nm. Electrical parameters are extracted, such as VTH, subthreshold slope, leakage current, low field mobility, RSD, gate electrode work function, EOT, and others. Working FinFETs with sub-100nm fin width are presented, with subthreshold slope of 120mV/dec and low field mobility of 372cm²/v.s, results that show an improvement on previous works, but still leave room for optimizations. Discussions are performed, explaining the meaning of the extracted parameters, and ways to improve the results. The different gate stacks are evaluated regarding their parameter stability and leakage current density. An EOT of 3.6nm is achieved for the SiON dielectric, with leakage current density between 177uA/cm² and 0.61mA/cm². Important developments have been made towards process integration and novel prototype fabrication methods. Future works include silicon-dielectric interface improvements and a self aligned process to achieve increased transconductance and gate-to-channel coupling, and reduce the series resistance Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestra em Engenharia Elétrica CNPQ 161893/2015-5
- Published
- 2016
90. Development of a magnetic-optical sensor based on ferrofluid for measuring electrical current
- Author
-
Saravia, David César Ardiles, 1970, Diniz, José Alexandre, 1964, Dias, Pedro Carvalhaes, 1983, Dias, Jose Antonio Siqueira, Duarte, Luís Fernando Caparroz, Spengler, Anderson Wedderhoff, Leite, Rogerio Lara, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Fluids ,Magnetóptica ,Efeito de Faraday ,Fibras óticas ,Optical sensor ,Sensor optico ,Optical fibers ,Faraday, effect of ,Magnetoptic ,Fluídos - Abstract
Orientadores: José Alexandre Diniz, Pedro Carvalhaes Dias Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Foi realizado um estudo das propriedades magneto-ópticas de Ferrofluidos (FF's), em especial o cálculo da dispersão da constante de VERDET (para o FF, EMG707 é 2000), com vistas à sua utilização no desenvolvimento de um sensor para a medição de corrente elétrica pelo Efeito Faraday. Entre as aplicações deste novo sensor podemos citar o monitoramento de transformadores, motores elétricos, elementos seccionadores, bobinas de campo de atuadores, medição de campos magnéticos, alocação como indicadores de falta em redes de distribuição de energia elétrica, etc. que não ultrapassem 30A. Na literatura nacional não há registro de nenhum trabalho neste sentido e na literatura internacional os poucos trabalhos publicados, não utilizam FF's encapsulados por polarizadores(modulador). O dispositivo tem um baixo custo em materiais, 2ml de FF custam 0,4 centavos de dólar e os polarizadores poliméricos 10 centavos de dólar o cm2. O dispositivo é fundamentalmente um modulador magneto-óptico guiado por fibra óptica de vidro (multimodo) e fonte de luz LASER(532nm). Foi utilizado o FF EMG707 da empresa FERROTEC do tipo surfactado, utilizamos dois tamanhos de nano partículas, de 5nm e 9nm. Utilizamos FF's pelas suas propriedades magnéticas (laço de histerese conservativo e remanência zero) e pelas suas propriedades ópticas (anisotropia óptica; birrefringência), embora possuam propriedades mecânicas (sua densidade aparente aumenta em 30 ou mais ordens de grandeza com aplicação de campo magnético). Foram elaboradas lâminas de Langmuir-Blodgett contendo fluido magnético, considerando técnicas de encapsulamento e vedação (Centro de Tecnologia da Informação Renato Archer-CTI) e efetuadas medições por interferometria óptica no vermelho para determinarmos o espaçamento mínimo e máximo entre duas lâminas, contendo ferrofluido (Tabelas 7 e 8). Fizemos a caracterização dos ferrofluidos por espectrometria óptica, para verificara banda de comprimentos de onda em que este material responde e onde ele é transparente (Fig. 51). Foram feitas diluições do FF para 5% e 10% para verificar a susceptibilidade magnética do FF (Tabela 5). Os materiais, técnicas e configurações estudadas apresentam propriedades magneto-ópticas interessantes em situações equivalentes onde são utilizados "garnets" e sensores convencionais (por efeito Hall e Magneto Resistência), em especial onde há necessidade de captar sinais com extinção zero e curva de remanência zero (sem consumo interno de energia), em aplicações que exigem isolamento elétrico e em atmosferas explosivas Abstract: A study of the magneto-optical properties of Ferrofluids (FF's) was carried out, in particular the calculation of the dispersion of the VERDET constant (for the FF, EMG707 is 2000), with a view to its use in the development of a sensor for the measurement Of electric current by the Faraday effect. Among the applications of this new sensor we can mention the monitoring of transformers, electric motors, disconnecting elements, field coils of actuators, measurement of magnetic fields, allocation as fault indicators in electricity distribution networks, etc. Not exceeding 30A. In the national literature there is no record of any work in this sense and in the international literature the few published works do not use FFs encapsulated by polarizers (modulator). The device has a low cost in materials, 2ml of FF cost 0.4 cents and the polymeric polarizers 10 cents of cm2. The device is fundamentally a magneto-optical modulator guided by fiber optic glass (multimode) and LASER light source (532nm). We used the FF EMG707 from the FERROTEC company of the surfactado type, we used two sizes of nano particles, of 5nm and 9nm. We use FFs for their magnetic properties (conservative hysteresis loop and zero remanence) and their optical properties (optical anisotropy; birefringence), although they have mechanical properties (their apparent density increases by 30 or more orders of magnitude with magnetic field application ). Langmuir-Blodgett slides containing magnetic fluid, considering encapsulation and sealing techniques (Renato Archer-CTI Information Technology Center) were made and optical red interferometric measurements were performed to determine the minimum and maximum spacing between two slides containing ferrofluid ( Tables 7 and 8). We performed the characterization of ferrofluids by optical spectrometry to verify the bandwidth at which this material responds and where it is transparent (Fig. 51). FF dilutions were made at 5% and 10% to verify the magnetic susceptibility of FF (Table 5). The materials, techniques and configurations studied have interesting magneto-optical properties in equivalent situations where garnets and conventional sensors are used (Hall and Magneto Resistance), especially where there is a need to pick up signals with zero extinction and zero remanence curve (Without internal energy consumption) in applications requiring electrical insulation and explosive atmospheres Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica CNPQ 141280/2007-7
- Published
- 2016
91. Evaluation of a new resin containing nanoparticles for application in the electrical insulation of stator bars
- Author
-
Conceição, Rafael Novaes da, 1978, Campos, João Sinézio de Carvalho, 1955, Morales, Ana Rita, Diniz, José Alexandre, Universidade Estadual de Campinas. Faculdade de Engenharia Química, Programa de Pós-Graduação em Engenharia Química, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Nanocomposites (Materials) ,Máquinas elétricas ,Nanotechnology ,Nanotecnologia ,Nanocompósitos (Materiais) ,Electric machines - Abstract
Orientador: João Sinézio de Carvalho Campos Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Química Resumo: É bem conhecida a necessidade de energia elétrica para o bem estar e desenvolvimento tecnológico da população em nosso planeta. Ao lado dos avanços científicos de novas tecnologias para geração, existem interesses na melhoria do transporte da energia. Dentre estes se encontram materiais para isolação elétrica de barras estatóricas, quer sejam para melhorar a isolação ou mesmo para reduzir custos. Assim, o presente trabalho visa apresentar resultados da aplicação de uma nova resina epóxi bisfenólica (DGEBF) (RN) à base de nanopartículas de sílica e comparar suas propriedades com a resina epóxi bisfenólica (DGEBA) (RE), atualmente utilizada para a isolação de hidrogeradores. Neste sentido, fabricaram-se protótipos de barras estatóricas, destinadas a hidrogeradores, sendo as resinas impregnadas em sistema VPI (Vácuo-Pressão-Impregnação) e avaliaram-se as propriedades físico-químicas pelas técnicas de viscosimetria, TGA, DSC, MEV e EDS. As propriedades elétricas foram avaliadas pelas técnicas de fator de dissipação (tan delta), descargas parciais, envelhecimento acelerado (VET) e tensão de ruptura. Dentre os resultados das propriedades físico-químicas pode-se comprovar um aumento inicial de três vezes o valor de viscosidade para resina RN em comparação com RE. Entretanto, não houve detrimento das características dielétricas em função da viscosidade, o que foi comprovado através dos resultados de tan delta e VET. Obteve-se um valor de Tg de 116°C e 145°C para RN e RE, respectivamente, o que limitaria a aplicação da resina em geradores por estar abaixo da temperatura de operação. Dentre os resultados dos testes elétricos para as resinas observou-se que: (i) o fator de dissipação e de envelhecimento são praticamente os mesmos para ambas as resinas; (ii) o valor de tip-up resultaram em 0,014 % para RE e 0,020 % para a resina RN, sendo que a norma EN 50209 exige que seja inferior a 0,25% e (iii) a estimativa do tempo de vida útil obtida foi de cerca de 40 anos para os dois tipos de resina, o que é aplicável para maioria dos enrolamentos em operação. Neste sentido sugere-se que a resina RN pode ser uma boa alternativa a resina RE, com um desempenho elétrico equivalente, desde que ajustadas suas condições de processamento e temperatura de aplicação Abstract: It is well know the demand of electrical energy for the wellbeing and technological development of the population in our planet. Among the scientific development of new technologies for generation, are interests to optimize the energy transport. Among them are materials for electrical insulation of stator bars, whether for improving the insulation or even to reduce the costs. Therefore, the present work aim to present the results of the employment of a new bisphenolic epoxy resin (DGEBF) (RN) containing silica nanoparticles and compare its properties with the bisphenolic epoxy resin (DGEBA) (RE) currently used for the insulation of hydrogenerators. In this context, it was manufactured prototype stator bars, designed for hydrogenerators, being the resins impregnated through VPI (Vacuum-Pressure-Impregnation) system and their physicochemical properties evaluated with the technics of viscosimetry, TGA, DSC, MEV and EDS. The electrical properties were evaluated with the tests of dissipation factor (tan delta), partial discharges, voltage endurance test (VET) and breakdown. Among the results of the physicochemical properties it was possible to verify an initial increase of three times the value of viscosity for RN resin compared to RE. However there was no detriment of the dielectric characteristics depending on the viscosity, what was proven with the results of dissipation factor and VET. It was obtained a value of Tg of 116°C and 145°C for RN and RE respectively, what could limit its employment for hydrogenerators as being below the operational temperature. Among the results of electrical tests for the resins it was observed that: (i) the dissipation factor and VET are practically the same for both resins; (ii) the tip-up value resulted in 0,014% for RE and 0,020% for RN, being required lower than 0,25% according to the norm EN 50209 and (iii) the estimating lifecycle was approximately 40 years for both types of resin, what is applicable for most windings under operation. In this context it is suggested that the RN resin can be a good alternative for RE resin with an equivalent performance once the process conditions and employment temperature are adjusted Mestrado Ciência e Tecnologia de Materiais Mestre em Engenharia Química
- Published
- 2015
92. A sensor based on SAW technology, using a single channel and two acoustic paths
- Author
-
Carlos Eduardo Teles, Swart, Jacobus Willibrordus, 1950, Balachov, Serguei, Bufon, Carlos César Bof, Diniz, José Alexandre, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Ondas acústicas superficiais ,Oscillators ,Acoustic surface waves ,Osciladores - Abstract
Orientadores: Jacobus Willibrordus Swart, Serguei Balachov Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: A necessidade científica e industrial de quantificar e detectar precisamente analitos é notável. Para isso inúmeros tipos de dispositivos são desenvolvidos. Um grupo de sensores que apresentam desempenho considerável é o grupo de sensores de ondas acústicas superficiais (SAW). Sensores acústicos são dispositivos que utilizam ondas mecânicas como o seu mecanismo de interação sensível com analitos. As ondas acústicas são geradas na superfície de um material piezoelétrico, e modificações causadas pelo analito nas propriedades da onda, como por exemplo: velocidade, amplitude ou fase, podem ser quantificadas para mensurar a relação física ou química causada por tal alteração. Nas versões tradicionais de sensores deste tipo, a resposta é proporcional à densidade de analito adsorvido, sendo obtida por meio da contagem de frequência do oscilador com um dispositivo de SAW na realimentação. Para facilitar a contagem de frequência e aumentar a precisão é necessário a utilização de dois canais, sendo o primeiro ¿ canal de referência e o segundo ¿ canal de medidas. Na literatura até o presente momento não existe a implementação de sensor SAW que não possua canal de referência e que não utilize contagem de frequência como resultado. Esse estudo tem como objetivo desenvolver um sensor SAW alternativo, baseado em um único oscilador multimodo, dotado de apenas um canal elétrico e dois caminhos acústicos, que formam uma única malha de realimentação. Essa abordagem se contrapõe aos típicos sensores da mesma família, que possuem dois canais elétricos de referência. No sensor desenvolvido um dos caminhos acústicos utilizado como linha de atraso tem um filme sensível depositado na superfície, que faz o sinal dependente da densidade do analito. A diferença de fase entre os caminhos acústicos determina a existência ou ausência da oscilação no oscilador do sensor, sendo isso utilizado como resposta. No modo " alarme" a oscilação está presente até que a mudança de fase no canal acústico coberto pelo filme sensível a suprima. No modo de "sensoriamento" a oscilação é definida através de parâmetros de ganho de amplificação e da fase de um trocador de fase externo, sendo que uma diferença de fase introduzida pelo analito seja suficiente para que a oscilação seja suprimida. Por meio da tensão de controle utilizada no trocador de fase é possível introduzir uma fase que compense a fase introduzida pela concentração do analito, o que restaura a oscilação suprimida. Essa tensão de controle é utilizada como resposta do sensor proposto. Para validar o conceito desenvolvido neste trabalho, foi fabricado e testado um sensor de umidade relativa (UR) baseado em filmes sensíveis de oxido de grafeno (GO) depositados sobre a superfície da linha de atraso do SAW. O sensor foi testado em regime de "sensoriamento" e "alarme¿, considerando uma faixa de UR de [0-100%]. Foi utilizado uma tensão de controle medida com um erro absoluto de 0,02 V, que corresponde a um erro relativo de 2,8 % . Foi concluído que o novo tipo de sensor sugerido neste trabalho pode ser utilizado para desenvolvimentos de vários novos dispositivos para monitorar ambiente industrial Abstract: The scientific and industrial necessity to detect and quantify precisely analytes is remarkable. For this purpose, countless types of devices are developed. A group in particular has shown considerable performance: the surface acoustic wave (SAW) sensors. Acoustic sensors are devices that use mechanical waves as their mechanism of sensitive interaction with analytes. The acoustic waves are generated on the surface of a piezoelectric material, and changes caused by the analyte in the properties of the wave, such as speed, amplitude or phase, can be quantified to measure chemical or physical relationship caused by such a change. In the traditional versions of this type of sensor, the response is proportional to the density of adsorbed analyte obtained by of counting oscillator frequency with a SAW device in the feedback. To facilitate the frequency count and increase accuracy, the use of two channels is necessary, where the first one ¿ reference channel and the second ¿ measurements channel. In the literature so far, there is no implementation of a SAW sensor that does not have reference channel nor uses frequency count as a result. This study aims to develop an alternative sensor, based on a single multimode oscillator, equipped by only one electric channel and two acoustic paths, which form a single feedback loop, which opposes to the typical sensors of the same family, with two electric reference channels. One of the acoustic paths used as a delay line has a sensitive film deposited on the surface, which makes it dependent on the analyte density. The phase difference between the paths wich determines the presence or absence of oscillation in the sensor oscillator, is used as sensor response. In the "alarm" mode the oscillation is present until the phase shift in the acoustic channel covered by the sensitive film suppresses it. In "sensing" mode the oscillation is defined by gain parameters of amplification and by the external phase of a phase shifter, so that a phase difference introduced by the analyte is enough to suppress the oscillation. By means of the control voltage utilized in the phase shifter, it is possible to introduce a phase that compensates the introduced one by the concentration of the analyte, which restores the suppressed oscillation. This control voltage is used as the response of the proposed sensor. In order to validate the concept developed in this study, a relative humidity (RH) sensor based on sensitive film of graphene oxide (GO) deposited on the surface of the SAW delay line was manufactured and tested. The sensor was tested in "sensing" and "alarm" modes, in the range of UR [ 0-100 %]. The control voltage was measured the absolute error of 0,02V. This value corresponds to the relative error of 2,8 %. It was concluded that the new type of sensor proposed in this study can be used for development of many new devices for monitoring industrial environment Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica CNPQ 134411/2013-7
- Published
- 2015
93. Engenharia da função trabalho de eletrodo de porta metálicos para futuros nós tecnológicos da tecnologia CMOS
- Author
-
Lima, Lucas Petersen Barbosa, 1986, Diniz, José Alexandre, 1964, Doi, Ioshiaki, Swart, Jacobus Willibrordus, Gendt, Stefan Jozef Emma Alfons De, Dekkers, Hendrik Frans Wouters, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Nitreto de titânio ,Transistores de efeito de campo ,Titanium nitride ,Field effect transistors - Abstract
Orientador: José Alexandre Diniz Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Para melhorar o desempenho dos dispositivos CMOS (complementary metal-oxide-semiconductor) com nós tecnológicos inferiores a 100 nm, dielétricos com alta constante dielétrica k (high-k ou alto-k) foram introduzidos. Eletrodos de porta tradicionais baseados em poli-Si não são compatíveis com dielétricos com alto-k e, portanto, a sua substituição é necessária para continuar o escalamento dos dispositivos CMOS. Eletrodos metálicos têm sido empregados em estruturas de porta de dispositivos tradicionais MOSFET (metal-oxide-semiconductor field effect transistor) planares, juntamente com dielétricos de alto-k. Filmes de nitreto de titânio (TiN) são utilizados como eletrodos de porta em dispositivos com tecnologia inferior à 65 nm. Valores da função trabalho eficaz (EWF) de filmes de TiN têm sido reportados como adequados para a tecnologia CMOS. Filmes com função trabalho eficaz com valores entre 4,15 eV e 4,45 eV são apropriados para aplicações em dispositivos nMOS, enquanto materiais com valores de EWF entre 4,95 eV e 5,15 eV são adequados para aplicações em dispositivos pMOS. Os valores de EWF estão relacionados com a composição do filme e variações de dipolo na interface entre a camada de TiN e o dielétrico. Neste trabalho, os filmes de TiN foram utilizados em dispositivos MOS, planares e FinFET (fin field effect transistor). Dois métodos de deposição foram utilizados para obtenção dos filmes de TiN: PVD (physical vapor deposition) e ALD (atomic layer deposition). Filme de TiN depositados por PVD podem apresentar valores de função trabalho adequados para dispositivos nMOS e pMOS. Infelizmente, filmes conformais em estruturas FinFET tri-dimensionais, que é a tecnologia escolhida para a próxima geração de dispositivos CMOS, não são facilmente obtidos através de processos PVD. Por outro lado, processos ALD fornecem a conformalidade desejada, mas filmes de TiN obtidos por ALD apresentam valores de função trabalho compatíveis somente com dispositivos pMOS. Contudo, valores mais baixos de EWF podem ser obtidos misturando Al com os filmes de TiN. Filmes de TiN com 20 nm de espessura foram obtidos através de PVD, e apresentaram um valor de resistividade elétrica de 324 ??.cm. Resultados da espectroscopia Raman indicam a presença de vacâncias de Ti e N nos filmes de TiN depositados por PVD. Além disso, análises XPS mostram a incorporação de oxigênio na superfície dos filmes de TiN, que está relacionado com a exposição do filme de TiN ao ambiente. A fim de reduzir essa contaminação superficial de oxigênio, uma camada de Al foi depositada in-situ no topo dos filmes de TiN. É importante ressaltar que este filme de Al foi utilizado somente para evitar a oxidação superficial dos filmes de TiN e não para modificação da função trabalho do TiN. Capacitores MOS (com estruturas Al/TiN/SiO2/Si e TiN/SiO2/Si) e diodos Schotkky (com estruturas Al/TiN/Si e TiN/Si) foram fabricados para o estudo das características elétricas dos filmes de TiN. Os valores de fator de idealidade entre 1,0 e 3,1 e EWF entre 4,45 eV e 4,55 eV foram extraídos das estruturas Al/TiN/Si e TiN/Si. Vacâncias de Ti e N presentes nos filmes de TiN afetam os valores extraídos para o fator de idealidade, mas a oxidação superficial dos filmes de TiN também podem influenciar os valores do fator de idealidade. Valores de tensão de banda plana entre -0,18 V e 0,04 V, TiN EWF entre 4,05 eV e 4,35 eV, e densidade de carga efetiva entre -1011 cm-2 e 1012 cm-2, foram extraídos dos capacitores MOS. Mudanças nos valores da tensão de banda plana e função trabalho do TiN estão relacionados principalmente com as variações de dipolo na interface entre TiN e SiO2. Além disso, o estudo sobre o efeito das variações de dipolo (q?SiO2) na interface TiN/SiO2, os valores de EWF extraídos dos capacitores MOS e diodos Schottky, demonstraram que o termo q?SiO2 é principalmente influenciado pela oxidação dos filmes de TiN na interface com o SiO2. Filmes de TiN depositados por ALD apresentam valores de EWF compatíveis com tecnologia pMOS, contudo, o valor da função trabalho pode ser modificada para valores adequados à tecnologia nMOS, através da incorporação de Al nos filmes de TiN. Com isso, filmes de Al, TiAl e AlN foram introduzidos entre os dielétrico de alto-k (HfO2) e eletrodo de TiN (obtido por ALD), para serem utilizados como fonte para à difusão de Al. Os valores de EWF extraídos dos capacitores MOS com filmes de TiN depositados por ALD, demonstraram uma diminuição de até 1,09 eV no valor da função trabalho efetiva do TiN quando uma camada de TiAl foi utilizada como fonte para a difusão de Al. Além do mais, reduções de 0,26 eV e 0,45 eV foram observadas nos valores de EWF do TiN para estruturas de AlN/TiN. Além disso, os valores de função trabalho efetiva extraídos para os filmes de TiN são adequados para tecnologia pMOS, mid-gap e nMOS, e a difusão de Al é um método promissor para o ajuste da função trabalho do TiN. Contudo, a caracterização elétrica dos dispositivos FinFET demonstraram que os filmes de TiN utilizados neste trabalho são compatíveis e adequados para a tecnologia CMOS. Além disso, este trabalho contém o desenvolvimento e fabricação do primeiro dispositivo FinFET no Brasil. Estes dispositivos foram fabricados com a estrutura de porta Al/TiN/SiO2/Si, e as características elétricas destes dispositivos demonstraram que a estrutura Al/TiN é apropriada para aplicações da tecnologia nMOS Abstract: To improve the performance of CMOS (Complementary Metal-Oxide-Semiconductor) devices with technology nodes below 100 nm, high-k dielectrics had to be introduced. However, traditional poly-Si based gate electrodes are not compatible with high-k dielectrics and therefore also their replacement is required to continue the scaling of CMOS devices. Metal based electrodes have been employed on the traditional planar MOSFET (Metal-Oxide-Semiconductor Field Effect Transistor) gate structure comprising high-k gate dielectrics. Effective work function values between 4.15 eV and 4.45 eV indicate that the material is appropriate for nMOS applications, while materials with EWF values between 4.95 eV and 5.15 eV are adequate for pMOS applications. Titanium nitride (TiN) films became the material of choice as a gate metal for devices with technology nodes below 65nm. TiN effective work function (EWF) values have been reported to be suitable for CMOS technology. EWF values are related to the film composition and dipole variations at the interface between TiN layer and dielectric. In this work, TiN layers were tested for their suitability for MOS devices for both technology, planar and 3-dimentional transistors, such as FinFET (Fin Field Effect Transistor). Two different deposition methods were used for TiN deposition: physical vapor deposition (PVD) and atomic layer deposition (ALD). PVD TiN films can present EWF values adequate for both nMOS and pMOS. Unfortunately, conformal films on 3-D FinFET structures, which is the technology choice for next generation CMOS scaling, are not easily obtained by PVD processes. ALD provides the desired conformality, but ALD TiN layers have EWF values suitable for pMOS applications only. For ALD TiN, lower EWF values can be achieved by mixing Al with TiN layer. PVD technique was used to obtain 20-nm-thick TiN layers, with electrical resistivity value of 324 ??.cm. Raman spectroscopy results indicated the presence of Ti and N vacancies in PVD TiN layers. Furthermore, XPS results showed oxygen incorporation into the TiN film surface, attributed to exposure of TiN film to ambient air. In order to reduce the oxygen contamination, an Al cap layer was deposited in situ on top of the PVD TiN film. It is important to highlight that in this case the Al layer is used to avoid TiN surface oxidation and not for EWF tuning. MOS capacitors (with TiN/SiO2/Si and Al/TiN/SiO2/Si structures) and Schottky diodes (with TiN/Si and Al/TiN/Si structures) were fabricated to evaluate the electrical characteristics of the PVD TiN. Ideality factors between 1.0 and 3.1, and work function between 4.45 eV and 4.55 eV were extracted from TiN/Si and Al/TiN/Si structures. The spread in ideality factor values is attributed to Ti and N vacancies in the TiN films, but the oxygen incorporation can also influence the ideality factor values. The flat-band voltage, TiN EWF and effective charge density values extracted from MOS capacitors, were found to be between -0.18 and 0.04 V, 4.05 eV and 4.35 eV, and -1011 cm-2 and 1012 cm-2, respectively. Changes in PVD TiN EWF and flat-band voltage values are related mainly to dipole variations at the TiN/SiO2 interface. Furthermore, the investigation of effect of dipole variations (q?SiO2) at the TiN/SiO2 interface, extracted using PVD TiN EWF values from MOS capacitors and Schottky diodes, has demonstrated that the q?SiO2 term is mainly affected by the TiN oxidation at the interface with dielectric. ALD TiN presents pMOS EWF values, its work function values can be tuned by mixing Al into TiN layer; and therefore, nMOS EWF values can also be achieved. With this, titanium aluminum (TiAl), Al and aluminum nitride (AlN) layers were introduced between the high-k (HfO2) dielectric and ALD TiN electrode as Al diffusion sources. The extracted ALD TiN EWF values from MOS capacitors had demonstrated that a decrease of 1.09 eV on TiN EWF can be achieved using TiAl as Al source. Furthermore, a decrease of 0.26 eV and 0.45 eV on ALD TiN EWF were extracted from AlN/TiN structures. Furthermore, the extracted TiN EWF values are suitable for applications on pMOS, nMOS and mid-gap devices, and the Al diffusion is a good method for TiN work function tuning. Moreover, the electrical characterization of FinFET devices had demonstrated that the obtained TiN layers are suitable for CMOS technology. Also, this work contains the development and fabrication of the first FinFET device in Brazil. These devices were fabricated with with Al/TiN/SiO2/Si as gate structure and the electrical performance of these transistors demonstrated that Al/TiN stack is suitable for nMOS applications Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica CNPQ CAPES
- Published
- 2015
94. Microfluidics systems on glass, silicon and Pdms
- Author
-
Salomão Moraes da Silva Junior, Swart, Jacobus Willibrordus, 1950, Moshkalev, Stanislav, 1952, Diniz, José Alexandre, Ferreira, Luiz Otavio Saraiva, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Microfluidic ,Simulação e modelagem ,Processos de fabricação ,Microfluídica ,Manufacturing processes ,Simulation and modeling - Abstract
Orientadores: Jacobus Willibrordus Swart, Stanislav Moshkalev Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Neste trabalho de pesquisa foram micro fabricados protótipos de dispositivos microfluídicos utilizando os processos e técnicas de microfabricação. Os microcanais foram fabricados em substratos de silício, vidro e polidimetilsiloxano (PDMS), selados com PDMS. O objetivo principal desta pesquisa é fabricar protótipos de dispositivos microfluídicos utilizando as rotinas e etapas de micro fabricação convencionais, envolvendo limpeza, litografia, corrosão úmida e selagem. Esses protótipos são aplicados em interações hidrodinâmicas como misturas e focalização, utilizando os microssistemas fluídicos com injeção de líquidos por meio de bomba de seringa e inspeção por microscopia ótica com câmera acoplada, comparado os ensaios com modelos hidrodinâmicos simulados em computador. Com o auxílio de modelagem com software de simulação multifísica e de ensaios práticos com dispositivos em laboratório foi possível obter protótipos operando em condições de regime tipicamente laminar, com número de Reynolds de 0,79 a 8,70; com dimensões dos microcanais na largura de 100 a 458 µm, na profundidade de 20 a 64 µm, com perfis: trapezoidal para silício, segmento circular para o vidro e retangular para o SU-08/PDMS, com pressões na faixa de 150 a 1200 Pa, velocidades de 9 mm/s a 110 mm/s, como resultados de aumento da região de interdifusividade entre os líquidos no interior dos microcanais, como resultado apontando para aplicações de micromisturas usando reatores microfluídicos passivos, tendo também aplicação com focalização de fluídos como resultado mostrado neste trabalho e com perspectiva em trabalhos futuros envolvendo citometria e microreatores ativos Abstract: This research paper was about manufacturing prototype microfluidic devices using the microfabrication processes and techniques. The microchannels were fabricated on silicon substrates, glass and polydimethylsiloxane (PDMS), sealed with PDMS. The main objective of this research is to manufacture prototype microfluidic devices using the routines and stages of micro conventional manufacturing, involving cleaning, lithography, wet etching and sealing. These prototypes are applied in hydrodynamic interactions as mixtures and focus on using the fluidic microsystem with liquid injection by syringe pump and inspection by an optical microscope with an attached camera, comparison tests with simulated hydrodynamic computer models. With the aid of modeling multiphysics simulation software and practical tests with laboratory devices it was possible to obtain prototypes operating in typically laminar flow conditions, with Reynolds number from 0.79 to 8.70; with dimensions of the microchannels in the width of 100 at 458 µm at a depth between 20 and 64 uM, with profiles: trapezoidal to silicon, circular segment to the glass and rectangular to the SU-08 / PDMS with pressures in the range of 150 the 1200 Pa, speed to 9 mm / s at110 mm / s, such as increased output from the region interdifused between the liquid inside the microchannel, as a result pointing to micromixing applications using microfluidic reactors liabilities, and also application focusing fluids as a result shown in this research paper and prospective future research involving cytometry and microreactors assets Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica FAPEAM 028/2011
- Published
- 2014
95. Developing of ion sensitve field effect transistor to lead detection
- Author
-
Rodrigo Reigota César, Swart, Jacobus Willibrordus, 1950, Barros, Angélica Denardi de, 1982, Seabra, Antonio Carlos, Diniz, José Alexandre, Panepucci, Roberto Ricardo, Alves, Oswaldo Luiz, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Electrolytes ,Transistors (Electricity) ,Lead ,Dióxido de titânio ,Titanium dioxide ,Eletrólitos ,Transistores (Eletricidade) ,Chumbo - Abstract
Orientadores: Jacobus Willibordus Swart, Angélica Denardi de Barros Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Com a escassez de água potável e com a sua contaminação frequente, a monitoração da mesma tornou-se importante. Dentre os muitos contaminantes, temos o chumbo (Pb). Este no passado foi muito utilizado em encanamentos residenciais, causando a contaminação da água. O chumbo pode causar danos á saúde humana desencadeando desde disfunções nos rins, aborto até alguns tipos de câncer. Dessa forma a criação de um dispositivo do tipo ISFET (Transistor de Efeito de Campo Sensível a Íon), que detecta a presença de cátions e ânions em água, está sendo desenvolvido para a detecção específica do chumbo. Para tal fim, foram utilizados filmes finos de óxido de titânio (TiO2) como dielétrico de porta e foi utilizado fosfato de cério fibroso (CeP) como membrana seletiva (material desenvolvidos no Laboratório de Química do Estado Solido - LQES[1]). Os filmes finos de TiO2 foram obtidos por dois métodos: i) deposição de titânio metálico por sputtering seguido pelo processo de oxidação térmica rápida (rapid thermal process - RTP); ii) deposição do óxido de titânio por sputtering. Foi escolhido o dióxido de titânio (TiO2) devido as suas características como: alta constante dielétrica, capacidade de formar pontes de hidrogênio e estabilidade química. Os filmes de TiO2, foram caracterizados estruturalmente por espectroscopia Raman; apresentando picos relacionados à estrutura cristalina rutilo, o que foi através do índice de refração igual a 2.4 encontrado na elipsometria. A microscopia de força atômica (AFM) e microscópio óptico mostraram a uniformidade do filme de CeP, dessa maneira sendo possível determinar qual é o melhor método de deposição da solução de CeP. Para testar qual método de deposição que resultou no melhor filme fino de TiO2, foram desenvolvidos capacitores com estrutura Si/TiO2e Si/SiO2/TiO2 no CCS (Centro de Componentes Semicondutores). Dessa maneira foram realizadas as medidas capacitância por tensão (CxV) e corrente por tensão (IxV) para vários tempos de recozimento. Levando-se em consideração o menor valor de densidade de carga, tensão de banda plana próximo ou igual a -0,9 V e o maior valor da constante dielétrica foi possível determinar que para ambos os métodos de deposição a melhor estrutura é a de Si/TiO2, com 10 minutos de recozimento para o filme obtido por RTP e com 15 minutos para o filme obtido por sputtering. Com a melhor condição elétrica de funcionamento dos capacitores foi desenvolvido o Eletrólito-Isolante-Semicondutor (EIS). A partir da curva CxV dos dispositivos EIS sem a membrana de CeP e com o óxido de Ti obtido por RTP, foi possível determinar a sensibilidade de 45mV/pH para soluções com diferentes valores de pH e sensibilidade igual a 42mV/100ppm para soluções com diferentes concentrações de chumbo. Com a membrana seletiva o dispositivo mostrou sensibilidade de 40mV/100ppm para soluções com concentrações diferentes de chumbo. O dispositivo EIS com óxido obtido por sputtering teve sensibilidade de 96mV/pH na detecção de pH, sendo está sensibilidade maior que a obtida pelo EIS obtido por RTP. Ao testar soluções com diferentes concentrações de chumbo, o dispositivo sem a membrana seletiva mostrou uma sensibilidade de 30mV/100ppm. Neste caso, o EIS com membrana seletiva mostrou uma sensibilidade de 20mV/100ppm Abstract: With the shortage of drinking water and with their frequent contamination, monitoring the same became important. Among the many contaminants, we have lead (Pb). This in the past it was widely used in residential plumbing, one of the ways that caused water contamination. Lead can cause damage to human health from kidney dysfunction, causing abortion and even some types of cancer. In this way the creation of a device of type ISFET (Sensitive Field Effect Transistor for ion), which detects the presence of cations and anions in water, is being developed for the specific detection of lead. For this purpose, we used thin titanium dioxide (TiO2) films as dielectric and fibrous cerium phosphate (CeP) was used as selective membrane (material developed in the laboratory of Solid State Chemistry-LQES[1]). The TiO2 thin films were obtained by two methods: 1) metallic titanium was deposited by sputtering then was oxidized by rapid thermal oxidation process (RTP); 2) Consist in titanium oxide deposition by sputtering. Titanium dioxide was chosen due to it quality was dielectric constant, ability to form hydrogen bonds and chemical stability. TiO2 thin films were structurally characterized by Raman Spectroscopy showing peaks related to rutile crystal structure, which has been proven through the refractive index equal to 2.4 found on elipsometria. The atomic force microscopy (AFM) and optical microscope showed the uniformity of the CeP film, thus being able to determine what the best method of deposition of CeP solution. To test which method has the best TiO2 thin film capacitors were developed with Si/TiO2 and Si/SiO2/TiO2 structure on CCS (Centre of Semiconductor Components). In this way were carried out the measures capacitance of versus voltage (CxV) and current versus tension (IxV) for various annealing times. Taking into consideration the smallest value of charge density, flat-band voltage near -0.9V and the greatest value of dielectric constant, it was possible to determine that for both methods of deposition the best structure is the Si/TiO2, with 10 minutes of annealing for the film obtained by RTP and with 15 minutes to the film obtained by sputtering. With the best electrical capacitors operating condition was developed the Electrolyte-Insulator-Semiconductor (EIS). From the curves CxV without the membrane of CeP and the titanium oxide obtained by RTP, it was possible to determine the sensitivity of 45mV/pH for pH detection and sensitivity of 42mV/100ppm for lead detection. With the selective membrane the EIS showed a sensitivity of 40mV/100ppm for lead detection. The EIS device with TiO2 obtained by sputtering showed a sensitivity of 96mV/pH for pH detection and sensitivity of 30mV/100ppm for lead detection. The EIS with selective membrane showed a sensitivity of 20mV/100 ppm for lead detection Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica
- Published
- 2014
96. Nanoantennas for coupling and communication between photonic devices
- Author
-
Gilliard Nardel Malheiros Silveira, Hernández-Figueroa, Hugo Enrique, 1959, Wiederhecker, Gustavo Silva, 1981, Almeida, Vilson Rosa de, Dmitriev, Victor, Diniz, José Alexandre, Gabrielli, Lucas Heitzmann, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Fotônica ,Ondas eletromagnéticas ,Optical waveguides ,Guias de ondas óticas ,Plasmons (physical) ,Photonics ,Cavidade ótica ,Electromagnetic waves ,Plásmons (Física) ,Optical cavity - Abstract
Orientadores: Hugo Enrique Hernández Figueroa, Gustavo Silva Wiederhecker Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Esta tese aborda o emprego de nano-antenas e antenas ópticas com configurações e materiais diversos, envolvendo atividades de modelagem e simulação. Tais propostas visam viabilizar novas soluções para problemas desafiadores de acoplamento, e também, de comunicação, no sentido da tecnologia de comunicações sem-fio entre dispositivos ópticos integrados, incluindo os do tipo plasmônico. Neste sentido, foram avaliadas novas propostas de nano-antenas; com particular ênfase em estruturas baseadas nas chamadas antenas dielétricas ressonantes Abstract: This thesis addresses the use of nano-antennas and optical antennas with various configurations and materials, involving modeling and simulation activities. Such proposals are intended to enable new solutions to challenging problems of coupling, and also, communication, in the sense of wireless communications between integrated optical devices, including the plasmonic ones. Accordingly, proposals for new nano-antennas were evaluated; with particular emphasis on structures based on the dielectric resonator antennas Doutorado Telecomunicações e Telemática Doutor em Engenharia Elétrica
- Published
- 2014
97. PVDF micropillars, PDMS microreactors and acceleration of sonochemical reactions with the ultrasonic transducer of the polymer polyvinylidene fluoride
- Author
-
Reinaldo Alberto Ricchi Júnior, Campos, João Sinézio de Carvalho, 1955, Diniz, José Alexandre, Ferreira, Luiz Otavio Saraiva, Santarine, Gerson Antonio, Carrilho, Emanuel, Universidade Estadual de Campinas. Faculdade de Engenharia Química, Programa de Pós-Graduação em Engenharia Química, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Polímeros ,Microfabricação ,Polymers ,Sonoquímica ,Microfabrication ,Sonochemistry - Abstract
Orientador: João Sinézio de Carvalho Campos Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Química Resumo: A versatilidade do polifluoreto de vinilideno (PVDF) abriu um grande número de possibilidades de pesquisa para este trabalho. Após uma completa revisão bibliográfica sobre as aplicações deste material, o transdutor ultrassônico de PVDF, acoplado a um reator e a um microreator do elastômero polidimetilsiloxano (PDMS), foi o sistema escolhido para esta tese. Tal sistema acelerou reações de Morita-Baylis-Hillman (MBH). O primeiro teste foi realizado em um reator "macroscópico" de PDMS para analisar o comportamento da reação MBH com este material. Em seguida, após o aprofundamento dos estudos, foi realizada uma reação MBH no interior do microreator, também de PDMS, e os resultados mostraram que o fenômeno de cavitação é significativamente maior neste caso, abrindo perspectivas para trabalhos futuros. Análises de espectroscopia de ressonância magnética nuclear (RMN) e de cromatografia gasosa (CG) comprovaram a eficácia do transdutor ultrassônico. Também foram fabricadas microestruturas de PVDF pela técnica de Litografia Macia por um novo processo, abrindo novas perspectivas de pesquisa Abstract: The versatility of polyvinylidene fluoride (PVDF) has opened a lot of possibilities for this research work. After a literature review about the applications of this material, the PVDF ultrasonic transducer coupled to a reactor and a microreactor of the elastomer polydimethylsiloxane (PDMS) was the system chosen for this thesis. Such system has accelerated reactions Morita-Baylis-Hillman (MBH). The first test was conducted in a "macroscopic" PDMS reactor to analyze the behavior of the MBH reaction with this material. Then, after further studies, the MBH reaction was performed inside the microreactor, also of PDMS, and the results showed that the phenomenon of cavitation is significantly higher in this case, opening perspectives for future work. Analysis of nuclear magnetic resonance spectroscopy (NMR) and gas chromatography (GC) demonstrated the effectiveness of the ultrasonic transducer. PVDF microstructures were also fabricated by Soft Lithography by a new process, opening new perspectives of research Doutorado Ciência e Tecnologia de Materiais Doutor em Engenharia Química
- Published
- 2013
98. Development of thin titan in oxide and zinc oxide films for ISFET and SAW devices
- Author
-
Angélica Denardi de Barros, Diniz, José Alexandre, 1964, Panepucci, Roberto Ricardo, Zoccal, Leonardo Bresegnhello, Manêra, Leandro Tiago, Doi, Ioshiaki, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Field-effect transistors ,Surface acoustic waves ,Titanium oxide ,Ondas acústicas superficiais ,Biosensores ,Transistores de efeito de campo ,Zinc oxide ,Óxido de zinco ,Dióxido de titânio ,Biosensor - Abstract
Orientador: José Alexandre Diniz Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: O objetivo deste trabalho é a obtenção e caracterização de filmes finos de óxido de titânio (TiOx) e de óxido de zinco (ZnO) para aplicações em sensores baseados em transistores de efeito de campo elétrico sensíveis a íons (Ion Sensitive Field Effect Transistor- ISFET) e de ondas acústicas de superfície (Surface Acoustic Waves - SAW), respectivamente. Desta forma, dois diferentes tipos de sensores foram obtidos. O primeiro é o sensor químico ISFET, cujos óxidos de porta foram os filmes de TiOx. Os filmes de Ti foram depositados sobre substrato de Si através do método de evaporação por feixe de elétrons, e, através do método de pulverização catódica (sputtering). Em seguida foram oxidados em forno térmico de processamento rápido (RTP). A caracterização estrutural ocorreu através de elipsometria, de microscopia de força atômica, de espectroscopia Raman, de difração de raios-X e de espectroscopia de absorção de raios-X próximo da borda, constatando a estrutura cristalina tetragonal referente à forma rutilo do TiO2. A caracterização elétrica da melhor amostra através da curva C-V demonstrou constante dielétrica igual a 8, densidade de estados na interface da ordem de 10-10eV-1.cm-2 e densidade de corrente da ordem de 10-4A/cm2. O MOSFET apresentou tensão Early da ordem de kV, e, resistência de saída da ordem de M?. Este dispositivo possui tensão de limiar igual a 0,30V, corrente de fuga da ordem de 10-8A e transcondutância igual a 12?S. O ISFET apresentou sensibilidade em corrente de 63?A/pH e sensibilidade em tensão equivalente a 64mV/pH, valor que encontra-se próximo do esperado de 59mV/pH (limite de Nernst). O segundo sensor é baseado em ondas acústicas de superfície. Esta tese se dedicou na integração deste sensor sobre substrato de Si, que não sendo piezelétrico impossibilita a geração de ondas acústicas. Como alternativa, o ZnO, que é piezelétrico, foi depositado sobre um filme fino de SiO2 sobre Si, tornando viável a confecção de dispositivos do tipo SAW e permitindo o estudo do transporte de cargas aprisionadas nos mínimos e máximos do potencial piezelétrico gerado pelo óxido de zinco sobre o Si. O filme de ZnO depositado por sputtering foi analisado por difração de raios-X apresentando orientação cristalina hexagonal na direção (0002). A onda acústica foi analisada através dos parâmetros de espalhamento de rede e por interferometria. Na interface SiO2/Si, onde ocorre o transporte acústico, o campo piezelétrico vale 0,56kV/cm. O valor da velocidade de propagação da onda acústica é igual a 4243m/s (obtida por simulação, considerando a frequência de ressonância dos IDTs igual a 750MHz, e o comprimento de onda acústico igual a 5,6?m). O transporte de pares elétrons-lacunas gerados por laser foi detectado na região de coleção de cargas da junção lateral p-i-n para distâncias superiores a 50?m e para valores de PRF entre -10dBm e 0dBm. Isto resultou na eficiência de coleção de pares em até 12% (laser sobre a junção), e de 3,5% com o laser 50?m distante da junção. O desenvolvimento destes sensores sobre substrato de Si permitirá a integração com circuitos de condicionamento de sinais fabricados em tecnologia CMOS Abstract: The aim of this work is to obtain and characterize thin titanium oxide (TiOx) films and zinc oxide (ZnO) films for applications in sensors based on ion sensitive field effect transistors (ISFET) and surface acoustic waves (SAW), respectively. In this way, two different types of sensors were obtained. The first is the chemical sensor ISFET, with TiOx as gate oxides. Ti films were deposited on Si substrate by electron beam evaporation and sputtering. Then, the Ti films were oxidized in rapid thermal processing oven (RTP). The Structural characterization occurred through ellipsometry, atomic force microscopy, Raman spectroscopy, X-ray diffraction and x-ray absorption near edge spectroscopy, denoting the tetragonal crystal structure of the rutile form of TiO2. Electrical characterization of the best sample through the C-V curve showed dielectric constant equal to 8, interface states density in the order of 10-10eV-1.cm-2 and current density of the order of 10-4/cm2. The MOSFET presented Early voltage in the order of kV, and output resistance in order of M?. This device has threshold voltage equal to 0.30V, leakage current on the order of 10-8A and transconductance equal to 12?S. The ISFET presented current sensitivity equal to 63?A/pH and voltage sensitivity equivalent to 64mV/pH, which is close to the expected 59mV/pH determined by the Nernst limit. The second sensor is based on surface acoustic waves. This thesis was devoted to the integration of this sensor on the Si substrate, which is not piezoelectric and therefore doesn't allow the generation of acoustic waves. Alternatively, the ZnO which is piezoelectric, when deposited on a thin film of SiO2 on Si, make possible the manufacture of SAW devices and allows the study of carriers transport trapped in the minimum and maximum of the piezoelectric potential generated by the zinc oxide on Si. The ZnO film deposited by sputtering was analyzed by x-ray diffraction showing hexagonal crystalline orientation in the direction (0002). The acoustic wave was analyzed through the network analyzer (scattering parameters) and the interferometer. In SiO2/Si interface, where transport occurs, the piezoelectric field is 0, 56kV/cm. the value of the acoustic wave propagation speed is equal to 4243m/s (obtained by simulation, considering the IDTs resonance frequency equal to 750MHz and the acoustic wavelength equal to 5.6?m). The carrier transport of electrons-holes generated by the incidence of a laser was detected in the collection region of the lateral p-i-n junction for distances exceeding 50 ?m and PRF values between-10dBm and 0dBm. This resulted in a collection efficiency up to 12% (laser on the junction), and 3.5% when the laser was 50?m away from the junction. The development of these sensors on Si substrate will allow integration with signal conditioning circuits manufactured in CMOS technology Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutora em Engenharia Elétrica
- Published
- 2013
99. Texturing the surface of monocrystalline silicon with NH4OH and anti-reflective coating for applications in photovoltaic cells compatible with CMOS technology
- Author
-
Silva, Audrey Roberto, 1964, Diniz, José Alexandre, 1964, Aguiar, Marina Rodrigues de, Doi, Ioshiaki, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Silicon nitride ,Solar cel ,Microelectronics ,Semicondutores complementares de óxido metálico ,Complementary metal oxide semiconductors ,Photovoltaic cells ,Células fotoelétricas ,Nitreto de silício ,Células solares ,Microeletrônica - Abstract
Orientador: José Alexandre Diniz Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este trabalho apresenta o desenvolvimento de células fotovoltaicas de junção n+/p em substratos de Si com processos de fabricação totalmente compatíveis com a tecnologia CMOS (Complementary Metal Oxide Semiconductor). Os processos compatíveis desenvolvidos neste trabalho sao as técnicas: i) de texturização da superfície do Si, com reflexao da superficie texturizada de 15% obtida com a formação de micro-pirâmides (alturas entre 3 e 7 ?m), utilizando-se solução alcalina de NH4OH (hidróxido de amônia), que e livre da contaminação indesejável por íons de Na+ e K+ quando se utiliza soluções tradicionais de NaOH e de KOH, respectivamente, e ii) de deposição ECR-CVD (Electron Cyclotron Resonance - Chemical Vapor Deposition) da camada antirrefletora (ARC) de SiNX (nitreto de silício), que e executada em temperatura ambiente, portanto pode ser feita apos a finalização da célula sem danificar trilhas metálicas e alterar a profundidade da junção n+/p. A caracterização desta camada ARC mostrou que o nitreto tem índice de refração de 1,92 e refletância mínima de 1,03%, o que e um excelente resultado para uso em células solares (ou fotovoltaicas). Foram fabricadas cinco series de células fotovoltaicas, utilizando-se a texturização com NH4OH e a camada antirrefletora de nitreto de Si. Em quatro series utilizou-se o processo de implantação de íons de fósforo (31P+), com posterior recozimento, para a formação da região n+, enquanto que na quinta serie foi utilizado o processo de difusão térmica. As eficiências máximas para as células fabricadas são de 9% e de 12%, respectivamente, para as células feitas utilizando os processos de implantação e de difusão térmica, indicando que a implantação de íons causa danos na rede cristalina do silício, que o posterior recozimento não consegue corrigir, o que reduz a eficiência da célula Abstract: This work presents the development of photovoltaic cells based on n+/p junction in Si substrates, with fully compatible fabrication processes with CMOS technology. The compatible processes, which are developed in this study, are the techniques: i) of Si surface texturing, with the textured surface reflection of 15% obtained by the formation of micro-pyramids (heights between 3 and 7 ?m) using NH4OH (ammonium hydroxide) alkaline solution, which is free of undesirable contamination by Na + and K + ions, when NaOH and KOH traditional solutions are used, respectively, and ii) of the ECR-CVD (Electron Cyclotron Resonance - Chemical Vapor Deposition) deposition of SiNx (silicon nitride) anti-reflective coating (ARC), which is carried out at room temperature and can be performed after the end of cell fabrication without damage on metallic tracks and without variation of n+/p junction depth. The ARC coating characterization presented that the silicon nitride has a refractive index of 1.92 and a minimum reflectance of 1.03%, which is an excellent result for application in solar (or photovoltaic) cells. Five series of photovoltaic cells were fabricated, using the NH4OH solution texturing and the silicon nitride antireflective coating. In the first four series, phosphorus (31P+) ion implantation process, with subsequent annealing to get the region n+, was used, while, in the fifth series was used the thermal diffusion process. The maximum efficiency values are of 9% and 12%, respectively, for cells, which were fabricated using the ion implantation and thermal diffusion processes, indicating that the ion implantation damages the silicon crystal lattice and the subsequent annealing cannot rectify, which reduces the cell efficiency Mestrado Eletrônica, Microeletrônica e Optoeletrônica Mestre em Engenharia Elétrica
- Published
- 2012
100. Development of materials and methods of fabrication of chemical/biochemical sensors based on silicon and carbon nanostructures (ISFET, CNTFET and GraFET)
- Author
-
Jair Fernandes de Souza, Tatsch, Peter Jürgen, 1949, Diniz, José Alexandre, 1964, Santos Filho, Sebastião Gomes dos, Morimoto, Nilton Itiro, Doi, Ioshiaki, Manêra, Leandro Tiago, Universidade Estadual de Campinas. Faculdade de Engenharia Elétrica e de Computação, Programa de Pós-Graduação em Engenharia Elétrica, and UNIVERSIDADE ESTADUAL DE CAMPINAS
- Subjects
Biosensors ,Biosensores ,Transistores de efeito de campo ,Grafeno ,Sensores eletroquímicos ,Carbon nanotubes ,Electrochemical sensors ,Field effect transistors ,Graphene ,Nanotubos de carbono - Abstract
Orientadores: Peter Jürgen Tatsch, José Alexandre Diniz Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação Resumo: Este trabalho teve como objetivo o desenvolvimento de materiais e métodos avançados de fabricação de sensores químicos/bioquímicos. Utilizando equipamentos disponíveis no Centro de Componentes Semicondutores da UNICAMP, foram desenvolvidos e caracterizados filmes finos de alta constante dielétrica e filmes metálicos. Os materiais desenvolvidos foram empregados na fabricação de sensores baseados em transistores de efeito de campo sensíveis a íons (ISFET) e em dispositivos de efeito de campo que incorporam nanoestruturas de carbono como elemento funcional [grafeno (GraFET) e nanotubos de carbono (CNTFET)]. A aplicação dos materiais como camada sensível, dielétrico de porta e eletrodos, assim como a utilização de nanoestruturas, tem por objetivo aumentar a sensibilidade e a biocompatibilidade dos dispositivos, construir dispositivos robustos que possam ser empregados em ambientes agressivos e obter sensores com resposta linear e estável com o tempo e temperatura. Foram fabricados, caracterizados e encapsulados ISFET's com camada sensível constituída por filmes finos de nitreto de silício (SiNx)/nitreto de alumínio (AlN) e com eletrodos formados por filmes metálicos de alumínio. Filmes finos de óxido de titânio (TiOx) e óxido de tântalo (TaOx), cujas características são de interesse para aplicação como filme sensível em determinadas aplicações, também foram estudados. Os filmes foram obtidos pelas técnicas de deposição química em fase vapor (LPCVD), sputtering dc e oxidação térmica rápida (RTO). Foram desenvolvidas técnicas de fabricação de dispositivos de efeito de campo baseados em grafeno e nanotubos de carbono, utilizando como dielétrico de porta os filmes finos desenvolvidos para formar a camada sensível dos ISFET's. Entretanto, os eletrodos foram construídos empregando-se filmes finos de nitreto de tântalo (TaN) depositados por sputtering dc. Filmes sensíveis de SiNx são quimicamente estáveis e tornam os sensores robustos com sensibilidade em tensão próxima ao limite de Nernst (59 mV/pH). Entretanto, a grande sensibilidade em tensão obtida (50 mV/pH) não é transformada em alta sensibilidade em corrente (1,35 ?A/pH), devido ao baixo valor de transcondutância observado (19 ?S). Por outro lado, quando se utiliza AlN depositado a temperatura ambiente, tem-se um baixo valor de sensibilidade em tensão (20 mV/pH) que é transformado em uma alta sensibilidade em corrente (28 ?A/pH), em razão da alta transcondutância dos dispositivos (329 ?S). GraFET's e CNTFET's demonstraram a modulação da corrente entre os eletrodos de fonte e dreno pela ação do campo elétrico perpendicular, aplicado com o auxílio do eletrodo de porta. Entretanto, o efeito de campo observado é ambipolar, ou seja, existem dois regimes possíveis de operação dos dispositivos, um regime dominado pelo transporte de lacunas e outro dominado pelo transporte de elétrons. A característica ambipolar possibilita a detecção de moléculas carregadas positiva e negativamente, enquanto que o baixo coeficiente de temperatura do filme de TaN possibilita a utilização dos dispositivos em processos realizados em altas temperaturas Abstract: The main aim of this work is the development of advanced materials and methods for the fabrication of chemical/biochemical sensors. By using equipments available in the Center of Semiconductor Components of UNICAMP, high dielectric constant thin films and metallic films have been developed and characterized. The materials developed were employed in the fabrication of sensors based on ion-sensitive field effect transistors (ISFET) and in field-effect devices incorporating carbon nanostructures as functional elements [Graphene (GraFET) and carbon nanotubes (CNTFET)]. The application of these materials as sensitive layer, gate dielectric and electrodes, as well as the use of nanostructures, aims to increase the sensitivity and biocompatibility of the devices, to build robust devices that can be used in harsh environments and obtain sensors with linear and stable response over time and temperature. ISFET's with sensitive layer consisting of thin films of silicon nitride (SiNx)/aluminum nitride (AlN) and with electrodes formed by aluminum metallic films were fabricated, characterized and packaged. Thin films of titanium oxide (TiOx) and tantalum oxide (TaOx), whose characteristics are interesting in certain applications, were also studied. The films were obtained by chemical deposition techniques in vapor phase (LPCVD), dc sputtering and rapid thermal oxidation (RTO). Techniques have been developed for manufacturing field effect devices based on graphene and carbon nanotubes, the thin films developed to form the ISFET's sensitive layer were used as gate dielectric. However, the electrodes were built by using thin film of tantalum nitride (TaN) deposited by dc sputtering. SiNx sensitive films are chemically stable and make sensors robust with sensitivity in voltage near to the Nernst limit (59 mV/pH). However, the great sensitivity in voltage (50 mV/pH) is not transformed into high current sensitivity (1.35 ?A/pH), due to the low value of transconductance (19 ?S). On the other hand, when AlN deposited at room temperature is used, a low voltage sensitivity value is obtained (20 mV/pH) that is transformed into a high sensitivity in current (28 ?A/pH), due to high transconductance of the devices (329 ?S). GraFETs and CNTFETs demonstrated the current modulation between the source and drain electrodes by the action of perpendicular electric field, applied with the aid of the gate electrode. However, the field effect observed is ambipolar, in other words, there are two possible operation regime, a regime dominated by the transport of holes and another dominated by transport of electrons. The ambipolar feature enables the detection of positively and negatively charged molecules, while the low temperature coefficient of TaN film allows the use of devices in processes carried out at high temperatures Doutorado Eletrônica, Microeletrônica e Optoeletrônica Doutor em Engenharia Elétrica
- Published
- 2012
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.