Search

Your search keyword '"Ki-Ho Baik"' showing total 55 results

Search Constraints

Start Over You searched for: Author "Ki-Ho Baik" Remove constraint Author: "Ki-Ho Baik" Topic optics Remove constraint Topic: optics
55 results on '"Ki-Ho Baik"'

Search Results

1. Lithographic Performances of Non-Chemically Amplified Resist and Chemically Amplified Resist for 193nm Top Surface Imaging Process

2. Lens heating impact analysis and controls for critical device layers by computational method

3. Attenuated phase shifting masks in combination with off-axis illumination: a way towards quarter micron DUV lithography for random logic applications

4. Overcome the process limitation by using inverse lithography technology with assist feature

5. Optimization from design rules, source and mask, to full chip with a single computational lithography framework: level-set-methods-based inverse lithography technology (ILT)

6. Source-mask optimization (SMO): from theory to practice

7. Evaluation of lithographic benefits of using ILT techniques for 22nm-node

8. Sub-quarter micron phase shifting lithography using the desire process at 248 nm (deep UV)

9. A DUV focus/exposure latitude study based on various partial coherences with different types of processes

10. Evaluation of inverse lithography technology for 55nm-node memory device

11. CD bias control with in-situ plasma treatment in EPSM photomask etch

12. Scatterometry measurement of nested lines, dual space, and rectangular contact CD on phase-shift masks

13. Study of rigorous effects and polarization on phase shifting masks through simulations and in-die phase measurements

14. Contact hole CD and profile metrology of binary and phase shift masks: effect of modeling strategies in application of scatterometery

15. CD and profile metrology of embedded phase shift masks using scatterometry

16. Scatterometry based CD and profile metrology of chrome-less masks using optical digital profilometry

17. TaN-based EUV mask absorber etch study

18. High-resolution etching of MoSi using electron beam patterned chemically amplified resist

19. Electron Beam Proximity Effect Correction on the MEBES eXara Mask Pattern Generator

20. Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resist

21. Sub-120-nm technology compatibility of attenuated phase-shift mask in KrF and ArF lithography

22. Potentialities of sub-100-nm optical lithography of alternating and phase-edge phase-shift mask for ArF lithography

23. Mask critical dimension error on optical lithography

24. Optimization for full-chip process of 130-nm technology with 248-nm DUV lithography

25. Comparison study for sub-0.13-μm lithography between ArF and KrF lithography

26. Exposure latitude analysis for dense line and space patterns by using diffused aerial image model

27. Accuracy of diffused aerial image model for full-chip-level optical proximity correction

28. TiSi-nitride-based attenuated phase-shift mask for ArF lithography

29. Comparison study of mask error effects for various mask-making processes

30. Implementation of chemically amplified resist on mask technology below 0.6-μm feature using high-acceleration voltage e-beam system

31. Comparison study for sub-150-nm DUV lithography between high-NA KrF and ArF lithography

32. Reduction of isolated-dense bias by optimization off-axis illumination for 150-nm lithography using KrF

33. Optimization of alignment key in electron-beam lithography

34. Electrical property study of line-edge roughness in top surface imaging process by silylation

35. Novel approximate model for resist process

36. Intrafield critical dimension variation using KrF scanner system for 0.18-μm lithography

37. Aberration effects in the region of 0.18-μm lithography with KrF excimer stepper

38. Analysis of nonlinear overlay errors by aperture mixing related with pattern asymmetry

39. Application of deep-UV attenuated PSM to 0.2-um contact hole patterning technology

40. Effect of pattern density for contact windows in an attenuated phase shift mask

41. Implementation of i-line lithography to 0.30 um design rules

42. Study of optical proximity effects using off-axis illumination with attenuated phase shift mask

43. Optimization of the optical phase shift in attenuated phase-shifting masks and application to quarter-micrometer deep-UV lithography for logics

44. Proximity effects in dry developed lithography for sub-0.35-μm application

45. New simplified positive-tone DESIRE process using liquid phase silylation in DUV lithography

46. New resolution-enhancing mask for projection lithography based on in-situ off-axis illumination

47. Optimization of Dipole Off-Axis Illumination by 1st-Order Efficiency Method for Sub-120 nm Node with KrF Lithography

48. Effects of Resist Thickness and Substrate Reflectance on Critical Dimension Bias of Isolated-Dense Pattern

49. Highly accurate cell projection mask for applications to sub-130 nm patterning

50. Implementation of Sub-150 nm Contact Hole Pattern by Resist Flow Process

Catalog

Books, media, physical & digital resources