25 results on '"David C. Brandt"'
Search Results
2. Laser produced plasma EUV sources for N5 HVM and beyond: performance, availability and technology innovation
- Author
-
Daniel Brown, Igor V. Fomenkov, David C. Brandt, Michael Purvis, and Peter Mayer
- Subjects
Computer science ,business.industry ,Extreme ultraviolet lithography ,Plasma ,Semiconductor device ,Modular design ,Laser ,Automotive engineering ,law.invention ,law ,Node (circuits) ,Laser power scaling ,business ,Technology innovation - Abstract
Multiple ASML NXE:3400C scanners are installed at customer factories and being used in high volume manufacturing (HVM) of leading semiconductor devices. The latest generation of NXE:3400C sources has improved performance and availability by implementing a modular vessel concept and an automated tin supply system. In this paper, we provide an overview of 13.5 nm tin laser-produced-plasma (LPP) extreme-ultraviolet (EUV) sources enabling HVM at the N5 node and beyond. The field performance of sources operating at 250 watts power including the performance of subsystems such as the Collector and the Droplet Generator will be shown. Progress in the development of key technologies for power scaling towards 420W will be described.
- Published
- 2021
- Full Text
- View/download PDF
3. Laser-produced plasma incoherent EUV light sources for high-volume manufacturing semiconductor lithography (Conference Presentation)
- Author
-
Martijn Leenders, David C. Brandt, Jayson Stewart, Yezheng Tao, Michael Purvis, Alex I. Ershov, Hummler Klaus Martin, Silvia De Dea, Peter Mayer, Georgiy O. Vaschenko, Slava Rokitski, Alexander Schafgans, Daniel Brown, Igor V. Fomenkov, and Robert J. Rafac
- Subjects
Computer science ,Semiconductor device fabrication ,business.industry ,Extreme ultraviolet lithography ,Plasma ,Laser ,Engineering physics ,High volume manufacturing ,law.invention ,Semiconductor ,law ,business ,Throughput (business) ,Lithography - Abstract
In this paper, we provide an overview of state-of-the-art technologies for incoherent laser-produced tin plasma extreme-ultraviolet (EUV) sources at 13.5nm with performance enabling high volume semiconductor manufacturing (HVM). The key elements to development of a stable and reliable source that also meet HVM throughput requirements and the technical challenges for further scaling EUV power to increase productivity are described. Improvements in availability of droplet generation and the performance of critical subsystems that contribute to EUV collection optics lifetime toward the one tera-pulse level, are shown. We describe current research activities and provide a perspective for EUV sources towards the future ASML Scanners.
- Published
- 2019
- Full Text
- View/download PDF
4. Scaling LPP EUV sources to meet high volume manufacturing requirements (Conference Presentation)
- Author
-
Georgiy O. Vaschenko, Michael Purvis, Yezheng Tao, Robert J. Rafac, Slava Rokitski, Igor V. Fomenkov, Alexander Schafgans, David C. Brandt, and Daniel J. W. Brown
- Subjects
Computer science ,business.industry ,Extreme ultraviolet lithography ,media_common.quotation_subject ,02 engineering and technology ,Plasma ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,High volume manufacturing ,law.invention ,010309 optics ,Presentation ,Optics ,law ,Extreme ultraviolet ,0103 physical sciences ,Systems engineering ,Key (cryptography) ,Laser power scaling ,0210 nano-technology ,business ,Scaling ,media_common - Abstract
In this paper, we provide an overview of various challenges and their solutions for scaling laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source performance to enable high volume manufacturing. We will discuss improvements to source architecture that facilitated the increase of EUV power from 100W to >200W, and the technical challenges for power scaling of key source parameters and subsystems. Finally, we will describe current power-scaling research activities and provide a forward looking perspective for LPP EUV sources towards 500W.
- Published
- 2017
- Full Text
- View/download PDF
5. Advancements in predictive plasma formation modeling
- Author
-
Michael Purvis, Alexander Schafgans, Rob Rafac, Alberto Pirati, Slava Rokitski, Igor V. Fomenkov, Mathew Abraham, Howard A. Scott, Aaron Fisher, Ted Taylor, Daniel J. W. Brown, Alice Koniges, M. Vargas, A. Link, Steven H. Langer, David C. Eder, Spencer Rich, Scott Wilks, Yezheng Tao, Josh Brown, and David C. Brandt
- Subjects
010302 applied physics ,Plasma formation ,business.industry ,Computer science ,Extreme ultraviolet lithography ,chemistry.chemical_element ,Plasma ,Laser ,01 natural sciences ,010305 fluids & plasmas ,Pulse (physics) ,law.invention ,Optics ,chemistry ,law ,0103 physical sciences ,Aerospace engineering ,business ,Tin ,Scaling - Abstract
We present highlights from plasma simulations performed in collaboration with Lawrence Livermore National Labs. This modeling is performed to advance the rate of learning about optimal EUV generation for laser produced plasmas and to provide insights where experimental results are not currently available. The goal is to identify key physical processes necessary for an accurate and predictive model capable of simulating a wide range of conditions. This modeling will help to drive source performance scaling in support of the EUV Lithography roadmap. The model simulates pre-pulse laser interaction with the tin droplet and follows the droplet expansion into the main pulse target zone. Next, the interaction of the expanded droplet with the main laser pulse is simulated. We demonstrate the predictive nature of the code and provide comparison with experimental results.
- Published
- 2016
- Full Text
- View/download PDF
6. High-Power, High-Repetition-Rate Pulsed CO2 Lasers and their application in EUV lithography sources
- Author
-
Yezheng Tao, Phil Conklin, M. Vargas, Michael Purvis, Rob Rafac, Michael Kats, Daniel Brown, Lukasz Urbanski, Jonathan Grava, Mathew Abraham, Spencer Rich, Jayson Stewart, David C. Brandt, Slava Rokitski, Palash P. Das, Igor V. Fomenkov, Alexander Schafgans, and Rick Sandstrom
- Subjects
Materials science ,Repetition (rhetorical device) ,business.industry ,Extreme ultraviolet lithography ,Pulse duration ,Laser ,Power (physics) ,law.invention ,Semiconductor ,Co 2 laser ,Optics ,law ,Extreme ultraviolet ,business - Abstract
Cymer-ASML is committed to develop high power EUV source technology based on CO 2 laser-produced-plasma (LPP) for use in EUV lithography for high-volume-manufacturing of semiconductors. Stable dose controlled EUV power at intermediate focus (IF) has been successfully developed using a CO 2 laser of high intensity, short pulse duration, high repetition, and high average power. Figure 1 shows 185 W at Intermediate Focus (IF) dose-controlled EUV source power and dose stability over a one hour demonstration. EUV pulse energy up to 5 mJ with 22% overhead is created at 50 kHz. Dose error is all smaller than 1%. This enables 100% good dies exposure.
- Published
- 2016
- Full Text
- View/download PDF
7. EUV laser produced plasma source development
- Author
-
William N. Partlo, Georgiy O. Vaschenko, Christopher P. Chrobak, David W. Myers, Oleh V. Khodykin, Alexander N. Bykanov, Alex I. Ershov, Nigel R. Farrar, Norbert R. Bowering, Igor V. Fomenkov, David C. Brandt, and Jerzy R. Hoffman
- Subjects
business.industry ,Extreme ultraviolet lithography ,chemistry.chemical_element ,Plasma ,Condensed Matter Physics ,Laser ,Reflectivity ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Optics ,chemistry ,law ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Tin - Abstract
This paper describes the development of a LPP EUV source using a CO"2 laser with tin droplet targets. Burst power of 100W and average power of 25W has been achieved. Collector mirrors have been fabricated with >50% reflectivity and show stable EUV images. Multiple debris mitigation techniques preserve mirror reflectivity. Manufacturing of the first production systems is in progress.
- Published
- 2009
- Full Text
- View/download PDF
8. Laser produced plasma light source development for HVM
- Author
-
Nigel R. Farrar, David C. Brandt, Rudy Peeters, David W. Myers, Daniel J. W. Brown, Robert J. Rafac, Norbert R. Bowering, Robert Kazinczi, Daniel Smith, Noreen Harned, Silvia De Dea, Daniel J. Riggs, Bruno La Fontaine, Igor V. Fomenkov, Michael Purvis, Alex I. Ershov, Hans Meiling, and Alberto Pirati
- Subjects
business.industry ,law ,Computer science ,Extreme ultraviolet ,Extreme ultraviolet lithography ,Multiple patterning ,Optoelectronics ,Plasma ,business ,Laser ,Lithography ,Engineering physics ,law.invention - Abstract
This paper describes the development of a laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source for advanced lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193nm immersion double patterning technology for sub- 20nm critical layer patterning. In this paper we discuss the most recent results from high power testing on our development systems targeted at the 250W configuration, and describe the requirements and technical challenges related to successful implementation of these technologies. Subsystem performance will be shown including Conversion Efficiency (CE), dose control, collector protection and out-of-band (OOB) radiation measurements. This presentation reviews the experimental results obtained on systems with a focus on the topics most critical for a 250W HVM LPP source.
- Published
- 2014
- Full Text
- View/download PDF
9. LPP EUV source readiness for NXE 3300B
- Author
-
Rudy Peeters, Silvia De Dea, David C. Brandt, Robert J. Rafac, Daniel J. W. Brown, Daniel J. Riggs, Norbert R. Bowering, Alex I. Ershov, Hans Meiling, Robert Kazinczi, Alberto Pirati, David W. Myers, Noreen Harned, Daniel Smith, Igor V. Fomenkov, Bruno La Fontaine, and Nigel R. Farrar
- Subjects
Scanner ,business.industry ,Extreme ultraviolet lithography ,Laser ,Performance results ,High volume manufacturing ,Automotive engineering ,law.invention ,Power (physics) ,Optics ,law ,Extreme ultraviolet ,Environmental science ,Cost of ownership ,business - Abstract
Laser produced plasma (LPP) light sources have been developed as the primary approach for EUV scanner imaging of circuit features in sub-20nm devices in high volume manufacturing (HVM). This paper provides a review of development progress and readiness status for the LPP extreme-ultra-violet (EUV) source. We present the latest performance results from second generation sources, including Prepulse operation for high power, collector protection for long lifetime and low cost of ownership, and dose stability for high yield. Increased EUV power is provided by a more powerful drive laser and the use of Prepulse operation for higher conversion efficiciency. Advanced automation and controls have been developed to provide the power and energy stability performance required during production fab operation. We will also discuss lifetesting of the collector in Prepulse mode and show the ability of the debris mitigation systems to keep the collector multi-layer coating free from damage and maintain high reflectivity.
- Published
- 2014
- Full Text
- View/download PDF
10. CO2/Sn LPP EUV sources for device development and HVM
- Author
-
Jonathan Grava, Yezheng Tao, Bruno La Fontaine, Daniel J. W. Brown, Robert A. Bergstedt, Chirag Rajyaguru, Nigel R. Farrar, Kevin Zhang, Robert N. Jacques, Alex I. Ershov, Norbert R. Bowering, Silvia De Dea, Toshi Ishihara, Georgiy O. Vaschenko, David W. Myers, David R. Evans, Christopher J. Wittak, David C. Brandt, Imtiaz Ahmad, Alexander Schafgans, Richard L. Sandstrom, Vladimir B. Fleurov, Palash P. Das, Igor V. Fomenkov, Robert J. Rafac, Shailendra N. Srivastava, Peter I. Porshnev, Spencer Rich, Peter Baumgart, Rod D. Simmons, Tedsuja Ishikawa, Wayne J. Dunstan, and Kay Hoffmann
- Subjects
business.industry ,Computer science ,Extreme ultraviolet lithography ,Amplifier ,Electrical engineering ,Plasma ,Laser ,Power (physics) ,law.invention ,Electricity generation ,Reliability (semiconductor) ,Optics ,Semiconductor ,law ,Extreme ultraviolet ,business ,Nominal power (photovoltaic) - Abstract
Laser produced plasma (LPP) systems have been developed as the primary approach for use in EUV scanner light sources for optical imaging of circuit features at 20nm nodes and beyond. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from ASML. We present the latest results on power generation and collector protection for sources in the field operating at 10W nominal power and in San Diego operating in MOPA (Master Oscillator Power Amplifier) Prepulse mode at higher powers. Semiconductor industry standards for reliability and source availability data are provided. In these proceedings we show results demonstrating validation of MOPA Prepulse operation at high dose-controlled power: 40 W average power with closed-loop active dose control meeting the requirement for dose stability, 55 W average power with closed-loop active dose control, and early collector protection tests to 4 billion pulses without loss of reflectivity.
- Published
- 2013
- Full Text
- View/download PDF
11. Laser produced plasma EUV light source for EUVL patterning at 20nm node and beyond
- Author
-
Nigel R. Farrar, Igor V. Fomenkov, Alex I. Ershov, Norbert R. Bowering, Bruno La Fontaine, Daniel J. W. Brown, David W. Myers, and David C. Brandt
- Subjects
Computer science ,business.industry ,Extreme ultraviolet lithography ,Plasma ,Laser ,law.invention ,Metrology ,law ,Extreme ultraviolet ,Multiple patterning ,Optoelectronics ,Node (circuits) ,business ,Lithography - Abstract
This paper describes the development of a laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source for advanced lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193nm immersion double patterning technology for sub-20nm critical layer patterning. In this paper we discuss the most recent results from high power testing on our development systems in San Diego, and describe the requirements and technical challenges related to successful implementation of these technologies. Subsystem performance will be shown including the CO2 drive laser, droplet generation, laser-to-droplet targeting control, intermediate-focus (IF) metrology, out-of-band (OOB) radiation measurements and system use and experience. In addition, a multitude of smaller lab-scale experimental systems have also been constructed and tested..
- Published
- 2013
- Full Text
- View/download PDF
12. Lifetime and refurbishment of multilayer LPP collector mirrors
- Author
-
Bruno La Fontaine, Silvia De Dea, David C. Brandt, Norbert Kaiser, Marco Perske, Tobias Fiedler, Hagen Pauer, Norbert R. Bowering, Torsten Feigl, Igor V. Fomenkov, Sergiy Yulin, Alex I. Ershov, Kay Hoffmann, and Andreas Tünnermann
- Subjects
business.industry ,Extreme ultraviolet lithography ,Technology development ,Laser ,USable ,Reflectivity ,Engineering physics ,law.invention ,Optics ,Optical coating ,Continuous use ,law ,Extreme ultraviolet ,Environmental science ,business - Abstract
The usable power of high-power EUV light sources at 13.5 nm and also the lifetime of source and collector optics are currently considered to be the largest challenges encountered during the transition of EUV lithography from the current beta-tool status to high-volume manufacturing. Fraunhofer IOF Jena has developed cost-effective refurbishment technologies of multilayer-based near normal incidence collector mirrors for high-power laser-produced plasma sources. Presently, the collector mirror lifetime exceeds 80 billion laser pulses which correspond to a lifetime of several months during continuous use of the source. Together with their partners Cymer is currently carrying out a focused program to improve the collector lifetime. New multilayer coatings together with new in-situ cleaning strategies during source operation are key technology development strategies to get closer to the ultimate target of about one year collector lifetime. The paper discusses different LPP collector refurbishment strategies and presents the recent status on collector refurbishment techniques.
- Published
- 2013
- Full Text
- View/download PDF
13. Light sources for EUV lithography at the 22-nm node and beyond
- Author
-
Igor V. Fomenkov, Daniel J. W. Brown, Michael J. Lercel, David W. Myers, Alexander N. Bykanov, Alex I. Ershov, Bruno La Fontaine, David C. Brandt, and Norbert R. Bowering
- Subjects
Physics ,business.industry ,Extreme ultraviolet lithography ,Laser ,Power (physics) ,law.invention ,Optics ,Optical coating ,Duty cycle ,law ,Extreme ultraviolet ,Optoelectronics ,Node (circuits) ,Laser power scaling ,business - Abstract
Through a number of experimental studies carried out on various experimental test stands we are characterizing the scaling of EUV power and collector lifetime. The current performance of the first generation of EUV sources to support EUV lithography scanners is at 20 W power and 70% availability. CO2 drive laser power of up to 17 kW has been reached, while average EUV power of nearly 50 W was demonstrated on an HVM I source with a laser pre-pulse at our facilities. The burst EUV power on this source was in excess of 90 W at 10% to 20% duty cycle and closer to 60 W at 80% duty cycle since the full set of automated controls has not yet been implemented on this source. Once the automation of the laser-droplet position controls is implemented on our pre-pulse system, the average source power is expected to reach power levels on the order of 100 W. Further scaling of source power through operation at repetition rates higher than 50 kHz was also shown to be possible. Through improved gas management, better coatings and parallel testing of collector samples, we have significantly extended the useful life of the source collector mirrors.
- Published
- 2012
- Full Text
- View/download PDF
14. Laser produced plasma EUV sources for device development and HVM
- Author
-
Michael J. Lercel, Chirag Rajyaguru, Richard L. Sandstrom, Bruno La Fontaine, David W. Myers, Kevin Zhang, Toshi Ishihara, Silvia De Dea, Georgiy O. Vaschenko, Norbert R. Bowering, Robert N. Jacques, Jonathan Grava, Alex I. Ershov, Daniel J. W. Brown, Robert A. Bergstedt, Christian J. Wittak, Imtiaz Ahmad, Michael R. Woolston, Alexander N. Bykanov, Vladimir B. Fleurov, David C. Brandt, Peter I. Porshnev, Alexander Schafgans, Shailendra N. Srivastava, Robert J. Rafac, Rod D. Simmons, Wayne J. Dunstan, Palash P. Das, Igor V. Fomenkov, Peter Baumgart, and Yezheng Tao
- Subjects
Scanner ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Sputter deposition ,Laser ,law.invention ,Optics ,Electricity generation ,Reliability (semiconductor) ,law ,Extreme ultraviolet ,Optoelectronics ,business ,Lithography - Abstract
Laser produced plasma (LPP) systems have been developed as the primary approach for the EUV scanner light source for optical imaging of circuit features at sub-22nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on exposure power generation, collection, and clean transmission of EUV through the intermediate focus. Semiconductor industry standards for reliability and source availability data are provided. We report on measurements taken using a 5sr normal incidence collector on a production system. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material as well as sputtering or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the protection results of these techniques will be shown over multi-100's of hours.
- Published
- 2012
- Full Text
- View/download PDF
15. Laser-produced plasma light source for EUVL
- Author
-
Oleh V. Khodykin, Alex I. Ershov, David W. Myers, Richard R. Hou, Alexander N. Bykanov, Daniel J. Golich, Shailendra N. Srivastava, Silvia De Dea, Imtiaz Ahmad, Richard L. Sandstrom, Georgiy O. Vaschenko, Igor V. Fomenkov, Wayne J. Dunstan, Kevin M. O'brien, Chirag Rajyaguru, Norbert R. Bowering, William N. Partlo, and David C. Brandt
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Faraday cup ,Plasma ,Laser ,law.invention ,symbols.namesake ,Optics ,Optical coating ,law ,Sputtering ,Extreme ultraviolet ,symbols ,Optoelectronics ,Photolithography ,business - Abstract
This paper is devoted to the development of laser produced plasma (LPP) EUV source architecture for advanced lithography applications in high volume manufacturing of integrated circuits. The paper describes the development status of subsystems most critical to the performance to meet scanner manufacturer requirements for power and debris mitigation. Spatial and temporal distributions of the radiation delivered to the illuminator of the scanner are important parameters of the production EUV tool, this paper reports on these parameters measured at the nominal repetition rate of the EUV source. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material and contaminants as well as sputtering and implantation of incident particles can reduce the reflectivity of the mirror coating substantially over time during exposure even though debris mitigation schemes are being employed. We report on progress of life-test experiments of exposed 1.6sr collectors using a Sn LPP EUV light source. The erosion of MLM coating is caused mostly by the high-energy ions generated from the plasma. In this manuscript the ion distribution measured at small (14 degree) and medium (45 degree) angles to the laser beam are presented. The measurements show that the chosen combination of the CO2 laser and Sn droplet targets is characterized by fairly uniform angular ion energy distribution. The maximum ion energy generated from the plasma is in the range of 3-3.5 keV for all incident angles of the collector. The measured maximum energy of the ions is significantly less than that measured and simulated for plasmas generated by short wavelength lasers (1 μm). The separation of ions with different charge states was observed when a retarding potential was applied to the Faraday Cup detector.
- Published
- 2010
- Full Text
- View/download PDF
16. High power LPP EUV source system development status
- Author
-
Benjamin Szu-Min Lin, Nigel R. Farrar, and David C. Brandt
- Subjects
Scanner ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Laser ,law.invention ,Metrology ,Electricity generation ,Optics ,law ,Extreme ultraviolet ,Multiple patterning ,business ,Lithography - Abstract
Extreme ultraviolet (EUV) technology has been recognized as the major lithography technology for 22 nm HP and beyond to fulfill Moore's Law, which predicts that circuit dimensions shrink 70% every 2~3 years in order to achieve cost down and obtain greater functionality per unit area. EUV source power is one of the key factors in determining the cost-effectiveness of EUVL compared to other lithography technologies, like double patterning. Only when EUV power can achieve a certain level, the cost of EUV lithography under high volume manufacturing (HVM) can become much more competitive than that of double patterning techniques. In this paper, the performance of the first production Cymer high power laser produced plasma (LPP) EUV source integrated with a 5 sr multi-layer mirror (MLM) collector and fully integrated debris mitigation will be shown. The latest results on power generation, stable and efficient collection, and clean transmission of EUV light through the intermediate focus will be presented. The lifetime of the MLM collector is a critical parameter in the development of extreme ultraviolet LPP lithography sources. Debris mitigation techniques are used to inhibit reflectivity degradation from deposition of target material, sputtering of the multilayer coating, and implantation of incident particles, which can reduce the efficiency of the MLM collector during exposure. The far field images of MLM collector are recorded by intermediate focus metrology with a CCD camera to determine the reflectivity status of the MLM collector during exposure. The results of these debris mitigation techniques are compared through multiple-hour EUV exposure. Testing shows cleanliness at the source-scanner interface acceptable to the limit of detection.
- Published
- 2009
- Full Text
- View/download PDF
17. LPP source system development for HVM
- Author
-
Christopher P. Chrobak, Silvia De Dea, Igor V. Fomenkov, Jerzy R. Hoffman, Georgiy O. Vaschenko, Richard R. Hou, Norbert R. Bowering, William N. Partlo, Oleh V. Khodykin, Daniel J. Golich, David A. Vidusek, David W. Myers, David C. Brandt, Shailendra N. Srivastava, Chirag Rajyaguru, Imtiaz Ahmad, Alexander N. Bykanov, Alex I. Ershov, and Nigel R. Farrar
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Plasma ,Sputter deposition ,engineering.material ,Laser ,law.invention ,Electricity generation ,Optics ,Coating ,law ,Extreme ultraviolet ,engineering ,Photolithography ,business ,Lithography - Abstract
Laser produced plasma (LPP) systems have been developed as a viable approach for the EUV scanner light source for optical imaging of circuit features at sub-32nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on power generation, stable and efficient collection, and clean transmission of EUV light through the intermediate focus. We report on measurements taken using a 5sr collector optic on a production system. Power transmitted to intermediate focus (IF) is shown. The lifetime of the collector mirror is a critical parameter in the development of extreme ultraviolet LPP lithography sources. Deposition of target material as well as sputtering of the multilayer coating or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the results of these techniques are shown. We also report on the fabrication of 5sr collectors and MLM coating reflectivity, and on Sn droplet generators with droplet size down to 30μm diameter.
- Published
- 2009
- Full Text
- View/download PDF
18. Lithography light source challenges for double patterning and EUVL
- Author
-
David C. Brandt, Daniel J. W. Brown, Ivan Lalovic, and Nigel R. Farrar
- Subjects
Materials science ,Continuous operation ,business.industry ,Extreme ultraviolet lithography ,Energy conversion efficiency ,Laser ,law.invention ,Optics ,law ,Extreme ultraviolet ,Multiple patterning ,Optoelectronics ,Node (circuits) ,business ,Lithography - Abstract
The need for improved lithography resolution has driven the development of light sources with ever shorter wavelength. Excimer lasers have extended the exposure wavelength down to 193nm. Further resolution extension will require the introduction of Extreme UV (EUV) light source technology at 13.5nm. The traditional light source driver at each technology node has been higher power which enables increased productivity. More recently, improved light source stability, driven by tighter CD and overlay budgets for Double Patterning processes, has become more important and developments in this area will be described. The leading challenge for insertion of EUVL is source power and lifetime, which are both necessary to ensure cost effective operation. The first Laser Produced Plasma (LPP) production source using a high power CO2 laser and tin droplet targets is described. High conversion efficiency has enabled high EUV power performance. Continuous operation up to 18 hours, with stable power output, has been demonstrated. High collection efficiency is obtained using a large (5sr) multilayer mirror collector optic. The first integrated source will be delivered to support scanners for process development and insertion of EUVL at the 22nm node. A roadmap for future generations of LPP sources with scalable power will be outlined.
- Published
- 2009
- Full Text
- View/download PDF
19. Laser-produced plasma source system development
- Author
-
Oleh V. Khodykin, David A. Vidusek, Alex I. Ershov, William N. Partlo, Igor V. Fomenkov, Norbert R. Bowering, Christopher P. Chrobak, Silvia De Dea, David W. Myers, Shailendra N. Srivastava, Alexander N. Bykanov, Richard R. Hou, Jerzy R. Hoffman, Georgiy O. Vaschenko, and David C. Brandt
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Energy conversion efficiency ,Sputter deposition ,Laser ,law.invention ,Optics ,law ,Extreme ultraviolet ,Laser power scaling ,Photolithography ,business ,Lithography - Abstract
This paper describes the development of laser produced plasma (LPP) technology as an EUV source for advanced scanner lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193 nm immersion technology for critical layer patterning below 32 nm beginning with beta generation scanners in 2009. This paper describes the development status of subsystems most critical to the performance to meet joint scanner manufacturer requirements and semiconductor industry standards for reliability and economic targets for cost of ownership. The intensity and power of the drive laser are critical parameters in the development of extreme ultraviolet LPP lithography sources. The conversion efficiency (CE) of laser light into EUV light is strongly dependent on the intensity of the laser energy on the target material at the point of interaction. The total EUV light generated then scales directly with the total incident laser power. The progress on the development of a short pulse, high power CO2 laser for EUV applications is reported. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. The deposition of target materials and contaminants, as well as sputtering of the collector multilayer coating and implantation of incident particles can reduce the reflectivity of the mirror substantially over the exposure time even though debris mitigation schemes are being employed. The results of measurements of high energy ions generated by a short-pulse CO2 laser on a laser-produced plasma EUV light source with Sn target are presented. Droplet generation is a key element of the LPP source being developed at Cymer for EUV lithography applications. The main purpose of this device is to deliver small quantities of liquid target material as droplets to the laser focus. The EUV light in such configuration is obtained as a result of creating a highly ionized plasma from the material of the droplets. Liquid tin is the material of choice to be used as a target due to the relatively high CE of the laser energy into in-band EUV radiation. Results obtained with the droplet generator and technical challenges related to successful implementation of the device are discussed.
- Published
- 2008
- Full Text
- View/download PDF
20. Development of a LPP EUV light source for below-32nm Node Lithography
- Author
-
Toshihiro Oga, David C. Brandt, J. Bonafede, and Nigel R. Farrar
- Subjects
Co2 laser ,Materials science ,business.industry ,Extreme ultraviolet lithography ,law.invention ,Optics ,Light source ,law ,Node (physics) ,Optoelectronics ,X-ray lithography ,Photolithography ,business ,Lithography ,Next-generation lithography - Abstract
A comprehensive review of the development activities being undertaken at Cymer in the development of a CO2 laser produced plasma (LPP) light source for EUV lithography is provided. A new and effective debris mitigation technique which greatly reduces the Ion Flux generated during exposure is also demonstrated.
- Published
- 2007
- Full Text
- View/download PDF
21. LPP EUV source development for HVM
- Author
-
Björn A. M. Hansson, Igor V. Fomenkov, Norbert R. Böwering, Alex I. Ershov, William N. Partlo, David W. Myers, Oleh V. Khodykin, Alexander N. Bykanov, Curtis L. Rettig, Jerzy R. Hoffman, Ernesto Vargas L., Rod D. Simmons, Juan A. Chavez, William F. Marx, and David C. Brandt
- Subjects
business.industry ,Etendue ,Computer science ,Extreme ultraviolet lithography ,Energy conversion efficiency ,Plasma ,Laser ,Metrology ,law.invention ,Optics ,Optical coating ,Semiconductor ,law ,Extreme ultraviolet ,Electronic engineering ,Laser power scaling ,business - Abstract
This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, intermediate-focus (IF) metrology, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. Results from several full-scale prototype systems are discussed. In addition, a multitude of smaller lab-scale experimental systems have also been constructed and tested. This paper reviews the latest experimental results obtained on these systems with a focus on the topics most critical for an HVM source. Laser produced plasma systems have been researched as probable light source candidates for an EUV scanner for optical imaging of circuit features at 32nm and beyond nodes on the ITRS roadmap. LPP systems have inherent advantages over alternative source types, such as Discharge Produced Plasma (DPP), with respect to power scalability, etendue, collector efficiency, and component lifetime. The capability to scale LPP power with repetition rate and modular design is shown. A path to meet requirements for production scanners planned well into the next decade is presented. This paper includes current testing results using a 320mm diameter near-normal-incidence elliptical collector, the first to be tested in a full-scale LPP system. With the collector in-situ, intermediate focus (IF) metrology capability is enabled, and data is presented that describes the quality of light at IF.
- Published
- 2006
- Full Text
- View/download PDF
22. High power low cost drive laser for LPP source
- Author
-
L Ernesto Vargas, Alexander N. Bykanov, Curtis L. Rettig, Bjorn A. M. Hansson, Juan A. Chavez, William F. Marx, Jerzy R. Hoffman, Igor V. Fomenkov, Oleh V. Khodykin, Vladimir B. Fleurov, Norbert R. Bowering, Alex I. Ershov, David C. Brandt, and William N. Partlo
- Subjects
Power gain ,Engineering ,business.industry ,Amplifier ,Electrical engineering ,Laser ,Q-switching ,law.invention ,law ,Power electronics ,Electronic engineering ,Laser power scaling ,Laser beam quality ,business ,Electrical efficiency - Abstract
We report on the approach for a high-power high-beam-quality drive laser system that is used for a laser-produced plasma (LPP) EUV source. Cymer has conducted research on a number of solutions for a multi-kW drive laser system that satisfy high volume production requirements. Types of lasers to be presented include XeF at 351 nm and CO2 at 10.6 micron. We report on a high efficiency XeF amplifier with a 3rd harmonic Nd:YLF master oscillator operated in the 6 to 8 kHz range and a CO2 laser system with Q-switched cavity dumped master oscillator and RF pumped fast axial flow amplifiers operated in the 10 to 100 kHz range. CO2 laser short pulse gain and optical isolation techniques are reported. Optical performance data and design features of the drive laser system are discussed, as well as a path to achieve output power scaling to meet high volume manufacturing (HVM) requirements and beyond. Additionally, the electrical efficiency as a component of cost of operation is presented. Development of a drive laser with sufficient output power, high beam quality, and economical cost of operation is critical to the successful implementation of a laser-produced-plasma (LPP) EUV source for HVM applications. Cymer has conducted research on a number of solutions to this critical need. We report our progress on development of a high power system with two gas-discharge power amplifiers to produce high output power with high beam quality. We provide optical performance data and design features of the drive laser as well as a path to output power scaling to meet HVM requirements. Development of a drive laser for LPP EUV source is a challenging task. It requires multi-kW laser output power with short pulse duration and diffraction limited beam quality. In addition, this system needs to be very reliable and cost-efficient to satisfy industry requirements for high volume integrated circuit manufacturing. Feasibility studies of high power laser solutions that utilize proven laser technologies in high power optical gain modules and deliver required beam properties have been performed and are reported.
- Published
- 2006
- Full Text
- View/download PDF
23. EUV source collector
- Author
-
L Ernesto Vargas, Norbert R. Bowering, Alex I. Ershov, Bjorn A. M. Hansson, Oleh V. Khodykin, David W. Myers, David C. Brandt, Igor V. Fomenkov, Juan A. Chavez, and William F. Marx
- Subjects
Materials science ,Continuous operation ,business.industry ,Extreme ultraviolet lithography ,Solid angle ,engineering.material ,Laser ,law.invention ,Optics ,Coating ,Electricity meter ,law ,Extreme ultraviolet ,engineering ,Light emission ,business - Abstract
A collector subsystem has been designed, built, and tested. The subsystem consists of a 320mm diameter ellipsoidal collector coated with a graded multilayer, mounting mechanics, thermal management capability, and a collector protection system. The EUV light emission can be collected with a solid angle of 1.6 sr. Collector substrates have been developed with the goal of offering both optical surface quality to support high multilayer mirror (MLM) reflectivity and material compatibility for long-term operation in the EUV source system. An interface-engineered MLM coating capable of maintaining high normal-incidence peak reflectivity at 13.5 nm during continuous operation at 400 °C has been developed. The thermal management of the system has been engineered and tested to maintain uniform substrate temperature during operation. Lastly, protection techniques have been developed to provide the collector with a long operational lifetime. Performance data for the entire subsystem are presented. The collector was installed in the source chamber of a laser-produced-plasma EUV source during system integration experiments using a tin droplet target. First results of the collected EUV output at the intermediate focus measured with a power meter and a fluorescence-converter-based imaging system are discussed.
- Published
- 2006
- Full Text
- View/download PDF
24. Development of stable extreme-ultraviolet sources for use in lithography exposure systems
- Author
-
Yezheng Tao, Michael J. Lercel, Norbert R. Bowering, Shailendra N. Srivastava, Alex I. Ershov, David W. Myers, Bruno La Fontaine, Daniel J. W. Brown, Daniel J. Golich, Silvia De Dea, Imtiaz Ahmad, Georgiy O. Vaschenko, Peter Baumgart, Alexander N. Bykanov, Igor V. Fomenkov, Chirag Rajyaguru, David C. Brandt, and Nigel R. Farrar
- Subjects
Materials science ,business.industry ,Mechanical Engineering ,Instrumentation ,Extreme ultraviolet lithography ,Condensed Matter Physics ,Chip ,Laser ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Root mean square ,Optics ,Experimental system ,law ,Extreme ultraviolet ,Electrical and Electronic Engineering ,business ,Lithography - Abstract
Laser-produced plasma sources offer the best option for scal- ability to support high-throughput lithography. Challenges associated with the complexity of such a source are being addressed in a pilot program where sources have been built and integrated with extreme-ultraviolet (EUV) scanners. Up to now, five pilot sources have been installed at R&D facilities of chip manufacturers. Two pilot sources are dedicated to product development at our facility, where good dose stability has been demonstrated up to levels of 32 W of average EUV power. Experi- mental tests on a separate experimental system using a laser prepulse to optimize the plasma conditions or EUV conversion show power levels equivalent to approximately 160 W within a low duty-cycle burst, before dose control is applied. The overall stability of the source relies on the generation of Sn droplet targets and large EUV collector mirrors. Stability of the Sn droplet stream is well below 1 μm root mean square during 100 þ h of testing. The lifetime of the collector is significantly enhanced with improved coatings, supporting uninterrupted operation for several weeks. © 2012 Society of Photo-Optical Instrumentation Engineers (SPIE). (DOI: 10.1117/1.JMM.11.2.021110)
- Published
- 2012
- Full Text
- View/download PDF
25. Performance results of laser-produced plasma test and prototype light sources for EUV lithography
- Author
-
David A. Vidusek, David C. Brandt, Christopher P. Chrobak, Shailendra N. Srivastava, Silvia De Dea, William N. Partlo, Dave Myers, Georgiy O. Vaschenko, Imtiaz Ahmad, Nigel R. Farrar, Chirag Rajyaguru, Oleh V. Khodykin, Richard R. Hou, Igor V. Fomenkov, Alexander N. Bykanov, Alexander I. Ershov, Jerzy R. Hoffman, Norbert R. Bowering, and Daniel J. Golich
- Subjects
Physics ,Spectrum analyzer ,business.industry ,Mechanical Engineering ,Extreme ultraviolet lithography ,Condensed Matter Physics ,Laser ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Metrology ,law.invention ,Optics ,law ,Extreme ultraviolet ,Optoelectronics ,Plasma diagnostics ,Electrical and Electronic Engineering ,business ,Faraday cage ,Lithography - Abstract
Improved performance and specific results are reported for several test and prototype extreme ultraviolet EUV light sources devel- oped for next-generation lithography. High repetition rate and high-power CO2 laser-produced plasma sources operating on tin droplet targets are described. Details of laser architecture, source chambers and system operation are given. Stable output power, efficient light collection, and clean EUV transmission could be achieved for hours of operation. We review progress during integration of light sources with collector mirrors reaching EUV power levels at intermediate focus of 60 W and 45 W, respectively, with duty cycles of 25% and 40%. Far-field EUV images of the collected light were recorded to monitor the source output perfor- mance during extended tests of collector longevity and debris protection with system operation time exceeding 50 h. Development results on EUV spectra, out-of-band OOB radiation, and ion debris obtained with dedicated metrology setups are also described. Angle-resolved mea- surements with ion energy analyzer and Faraday cups reveal the contri- butions of individual ion charge states in related spectra. Our laser- produced EUV light source technology has now reached a level of maturity in full integration where prototype sources can be delivered and pilot line introduction can be prepared. © 2009 Society of Photo-Optical Instru
- Published
- 2009
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.