Search

Your search keyword '"Benini, L"' showing total 76 results

Search Constraints

Start Over You searched for: Author "Benini, L" Remove constraint Author: "Benini, L" Topic embedded system Remove constraint Topic: embedded system
76 results on '"Benini, L"'

Search Results

1. SunFloor 3D: a tool for networks on chip topology synthesis for 3-D systems on chips

3. Thermal balancing policy for multiprocessor stream computing platforms

4. Design of a solar-harvesting circuit for batteryless embedded systems

5. Design of a collective communication infrastructure for barrier synchronization in cluster-based nanoscale MPSoCs

6. Analysis of Error Recovery Schemes for Networks on Chips

7. Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control

8. Improving Autonomous Nano-Drones Performance via Automated End-to-End Optimization and Deployment of DNNs

9. Adaptive Random Forests for Energy-Efficient Inference on Microcontrollers

10. PULP-TrainLib: Enabling On-Device Training for RISC-V Multi-core MCUs Through Performance-Driven Autotuning

11. Hardware-In-The Loop Emulation for Agile Co-Design of Parallel Ultra-Low Power IoT Processors

12. Towards an Open, Flexible, Wearable Ultrasound Probe for Musculoskeletal Monitoring

13. A Microcontroller is All You Need: Enabling Transformer Execution on Low-Power IoT Endnodes

14. A RISC-V in-network accelerator for flexible high-performance low-power packet processing

15. Arnold: An eFPGA-Augmented RISC-V SoC for Flexible and Low-Power IoT End Nodes

16. Analyzing Memory Interference of FPGA Accelerators on Multicore Hosts in Heterogeneous Reconfigurable SoCs

17. MemPool: A Shared-L1 Memory Many-Core Cluster with a Low-Latency Interconnect

18. H-Watch: An Open, Connected Platform for AI-Enhanced COVID19 Infection Symptoms Monitoring and Contact Tracing

19. Microarchitectural Timing Channels and their Prevention on an Open-Source 64-bit RISC-V Core

20. Efficient Transform Algorithms for Parallel Ultra-Low-Power IoT End Nodes

21. 4.4 A 1.3TOPS/W @ 32GOPS Fully Integrated 10-Core SoC for IoT End-Nodes with 1.7μW Cognitive Wake-Up from MRAM-Based State-Retentive Sleep Mode

22. RISC-V for Real-time MCUs - Software Optimization and Microarchitectural Gap Analysis

23. Using Low-Power, Low-Cost IoT Processors in Clinical Biosignal Research: an In-depth Feasibility Check

24. An Accurate EEGNet-based Motor-Imagery Brain–Computer Interface for Low-Power Edge Computing

25. Mixed-data-model heterogeneous compilation and OpenMP offloading

26. An Open-Source Scalable Thermal and Power Controller for HPC Processors

27. Optimizing Temporal Convolutional Network inference on FPGA-based accelerators

28. Neuro-PULP: A Paradigm Shift Towards Fully Programmable Platforms for Neural Interfaces

29. The Cost of Application-Class Processing: Energy and Performance Analysis of a Linux-Ready 1.7-GHz 64-Bit RISC-V Core in 22-nm FDSOI Technology

30. Increasing the energy efficiency of microcontroller platforms with low-design margin co-processors

31. An Energy-Efficient IoT node for HMI applications based on an ultra-low power Multicore Processor

32. Online Learning and Classification of EMG-Based Gestures on a Parallel Ultra-Low Power Platform Using Hyperdimensional Computing

33. FANN-on-MCU: An Open-Source Toolkit for Energy-Efficient Neural Network Inference at the Edge of the Internet of Things

34. Idleness-Aware Dynamic Power Mode Selection on the i.MX 7ULP IoT Edge Processor

35. PULP-NN: accelerating quantized neural networks on parallel ultra-low-power RISC-V processors

36. Torpor: A Power-Aware HW Scheduler for Energy Harvesting IoT SoCs

37. Learning to infer: RL-based search for DNN primitive selection on Heterogeneous Embedded Systems

38. Hero: An open-source research platform for HW/SW exploration of heterogeneous manycore systems

39. Modeling and Evaluation of Application-Aware Dynamic Thermal Control in HPC Nodes

40. Towards a Novel HMI Paradigm Based on Mixed EEG and Indoor Localization Platforms

41. Aging-Aware Energy-Efficient Workload Allocation for Mobile Multimedia Platforms

42. Computing Accurate Performance Bounds for Best Effort Networks-on-Chip

43. Scalable EEG seizure detection on an ultra low power multi-core architecture

44. Long-Term ECG monitoring with zeroing Compressed Sensing approach

45. Specification and analysis of power-managed systems

46. Aging-aware compiler-directed VLIW assignment for GPGPU architectures

47. Combined methods to extend the lifetime of power hungry WSN with multimodal sensors and nanopower wakeups

48. Platform 2012, a many-core computing accelerator for embedded SoCs

49. Low-power processor architecture exploration for online biomedical signal analysis

50. Static Thermal Model Learning for High-Performance Multicore Servers

Catalog

Books, media, physical & digital resources