Search

Your search keyword '"digital clock manager"' showing total 1,012 results

Search Constraints

Start Over You searched for: Descriptor "digital clock manager" Remove constraint Descriptor: "digital clock manager" Topic cpu multiplier Remove constraint Topic: cpu multiplier
1,012 results on '"digital clock manager"'

Search Results

1. CHARSTAR

2. A 250-Mb/s to 6-Gb/s Referenceless Clock and Data Recovery Circuit With Clock Frequency Multiplier

3. Low-Power Clock Tree Synthesis for 3D-ICs

4. CMCS: Current-Mode Clock Synthesis

5. Clock buffer polarity assignment under useful skew constraints

6. Analogue feedback inverter based duty-cycle correction

7. Clock Technology: The Next Frontier

8. Fast clock scheduling and an application to clock tree synthesis

9. Boundary optimization of buffered clock trees for low power

10. Ring-Oscillator Type Multi-Chip Clock Signal Synchronization Technique with In-Phase Clock Bus Lines

12. Multi-Chip System Clock Signal Distribution Synchronization Technology with In-Phase Clock Lines

13. Design Methodology for Voltage-Scaled Clock Distribution Networks

14. A clock synchronization method for EtherCAT master

15. Power Analysis and Implementation of the 8 - bit Toggle Clock Gated ALU

16. Self‐gated resonant‐clocked flip‐flop optimised for power efficiency and signal integrity

17. Post-Silicon Tuning Based on Flexible Flip-Flop Timing

18. A Robust Energy/Area-Efficient Forwarded-Clock Receiver With All-Digital Clock and Data Recovery in 28-nm CMOS for High-Density Interconnects

19. Single-Event Transient Sensitivity Evaluation of Clock Networks at 28-nm CMOS Technology

20. Non-Uniform Clock Mesh Synthesis with Clock Gating and Register Clustering

21. Design Methodology for Synthesizing Resonant Clock Networks in the Presence of Dynamic Voltage/Frequency Scaling

23. Power Efficient High-Level Synthesis by Centralized and Fine-Grained Clock Gating

24. High-precision multi-node clock network distribution

25. DLL-Assisted Clock Synchronization Method for Multi-Die ICs

26. High-level Power Modeling of Clock Gated Circuits

27. Development of Embedded Simple Network Time Protocol Client Clock

29. Range Unlimited Delay-Interleaving and -Recycling Clock Skew Compensation and Duty-Cycle Correction Circuit

30. Low Latency Synchronization Scheme Using Prediction and Avoidance of Synchronization Failure in Heterochronous Clock Domains

31. A small fully digital open-loop clock and data recovery circuit for wired BANs

32. Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop

33. Cost-Effective Robustness in Clock Networks Using Near-Tree Structures

34. An on-chip frequency programmable test clock generation and application method for small delay defect detection

35. Design and Implementation of the Infrared Remote-Controlled Digital Clock Based on FPGA

36. Using physical layer clock recovery to augment application layer time synchronization

37. Network Clock System that Ensures a High Level of Frequency Accuracy

38. A Mismatch-Insensitive Skew Compensation Architecture for Clock Synchronization in 3-D ICs

39. An Analog-Digital Clock DLL Control Circuit Used for High-Speed High-Resolution Digital-to-Analog Converter

40. Synchronous sampling and clock recovery of internal oscillators for side channel analysis and fault injection

41. Obstacle-aware symmetrical clock tree construction

42. Low design overhead timing error correction scheme for elastic clock methodology

43. Slew-down: analysis of slew relaxation for low-impact clock buffers

44. Design of clock generation circuitry for high-speed subranging time-interleaved ADCs

45. Effects of runtime failures in IEEE 1588 clock networks

46. New activity-driven clock tree design methodology for low power clock gating

47. An efficient optimal clock network buffer sizing with slew consideration

48. Pulsar: A Wireless Propagation-Aware Clock Synchronization Platform

49. Clock distribution network design for single phase energy recovery circuits

50. Clock data compensation aware clock tree synthesis in digital circuits with adaptive clock generation

Catalog

Books, media, physical & digital resources