41 results on '"William N. Partlo"'
Search Results
2. LPP source system development for HVM
- Author
-
David C. Brandt, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers, Richard L. Sandstrom, Bruno M. La Fontaine, Michael J. Lercel, Alexander N. Bykanov, Norbert R. Böwering, Georgiy O. Vaschenko, Oleh V. Khodykin, Shailendra N. Srivastava, Imtiaz Ahmad, Chirag Rajyaguru, Palash Das, Vladimir B. Fleurov, Kevin Zhang, Daniel J. Golich, Silvia De Dea, Richard R. Hou, Wayne J. Dunstan, Christian J. Wittak, Peter Baumgart, Toshihiko Ishihara, Rod D. Simmons, Robert N. Jacques, and Robert A. Bergstedt
- Published
- 2011
- Full Text
- View/download PDF
3. Dense Plasma Focus Source
- Author
-
Richard M. Ness, Jerzy R. Hoffman, Oleg Khodykin, Curtis L. Rettig, William N. Partlo, Stephan T. Melnychuk, Igor V. Fomenkov, Ian Roger Oliver, and Norbert R. Bowering
- Subjects
Brightness ,Engineering ,Dense plasma focus ,business.industry ,Extreme ultraviolet lithography ,Pinch ,Electrical engineering ,Pulse duration ,Plasma ,Electric power ,Coaxial ,Aerospace engineering ,business - Abstract
With the emergence of EUVL as the chosen technology for next-generation lithography (NGL) systems, significant effort has been spent in developing light sources consistent with the challenging requirements of the scanner manufacturers as well as meeting the aggressive demands of the end users for high-volume manufacturing (HVM). A light source with extremely high power and brightness is required for integration into a scanner tool based on reflective optics with multilayer (ML) coatings, since it needs to be designed for highest throughput at a wavelength of 13.5 nm. Over the past six years at Cymer Inc., we have pursued the research and development of DPPs to meet the demands for commercial HVM tools. A dense plasma focus (DPF) configuration was chosen because it provides an open geometry with large possible collection angle and can be operated over a wide parameter range. The main thrust of our research and development is devoted to achieving the challenging industry demands on light-source performance, requiring extremely high output power (
- Published
- 2010
- Full Text
- View/download PDF
4. Laser-produced plasma light source for EUVL
- Author
-
Oleh V. Khodykin, Alex I. Ershov, David W. Myers, Richard R. Hou, Alexander N. Bykanov, Daniel J. Golich, Shailendra N. Srivastava, Silvia De Dea, Imtiaz Ahmad, Richard L. Sandstrom, Georgiy O. Vaschenko, Igor V. Fomenkov, Wayne J. Dunstan, Kevin M. O'brien, Chirag Rajyaguru, Norbert R. Bowering, William N. Partlo, and David C. Brandt
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Faraday cup ,Plasma ,Laser ,law.invention ,symbols.namesake ,Optics ,Optical coating ,law ,Sputtering ,Extreme ultraviolet ,symbols ,Optoelectronics ,Photolithography ,business - Abstract
This paper is devoted to the development of laser produced plasma (LPP) EUV source architecture for advanced lithography applications in high volume manufacturing of integrated circuits. The paper describes the development status of subsystems most critical to the performance to meet scanner manufacturer requirements for power and debris mitigation. Spatial and temporal distributions of the radiation delivered to the illuminator of the scanner are important parameters of the production EUV tool, this paper reports on these parameters measured at the nominal repetition rate of the EUV source. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material and contaminants as well as sputtering and implantation of incident particles can reduce the reflectivity of the mirror coating substantially over time during exposure even though debris mitigation schemes are being employed. We report on progress of life-test experiments of exposed 1.6sr collectors using a Sn LPP EUV light source. The erosion of MLM coating is caused mostly by the high-energy ions generated from the plasma. In this manuscript the ion distribution measured at small (14 degree) and medium (45 degree) angles to the laser beam are presented. The measurements show that the chosen combination of the CO2 laser and Sn droplet targets is characterized by fairly uniform angular ion energy distribution. The maximum ion energy generated from the plasma is in the range of 3-3.5 keV for all incident angles of the collector. The measured maximum energy of the ions is significantly less than that measured and simulated for plasmas generated by short wavelength lasers (1 μm). The separation of ions with different charge states was observed when a retarding potential was applied to the Faraday Cup detector.
- Published
- 2010
- Full Text
- View/download PDF
5. LPP source system development for HVM
- Author
-
David C. Brandt, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers, Richard L. Sandstrom, Norbert R. Böwering, Georgiy O. Vaschenko, Oleh V. Khodykin, Alexander N. Bykanov, Shailendra N. Srivastava, Imtiaz Ahmad, Chirag Rajyaguru, Daniel J. Golich, Silvia De Dea, Richard R. Hou, Kevin M. O'Brien, and Wayne J. Dunstan
- Published
- 2010
- Full Text
- View/download PDF
6. Enabling high volume manufacturing of double patterning immersion lithography with the XLR 600ix ArF light source
- Author
-
William N. Partlo, Theodore Cacouris, Hong Ye, Fedor B. Trintchouk, Robert N. Jacques, Daniel J. W. Brown, Robert J. Rafac, Robert A. Bergstedt, Rajasekhar M. Rao, R. Rokitski, Toshihiko Ishihara, and Vladimir B. Fleurov
- Subjects
Scanner ,Materials science ,business.industry ,Overlay ,law.invention ,Numerical aperture ,Optics ,law ,Multiple patterning ,Optoelectronics ,Photolithography ,business ,Lithography ,Operating cost ,Immersion lithography - Abstract
Deep ultraviolet (DUV) lithography improvements have been focused on two paths: further increases in the effective numerical aperture (NA) beyond 1.3, and double patterning (DP). High-index solutions for increasing the effective NA have not gained significant momentum due to several technical factors, and have been eclipsed by an aggressive push to make DP a high-volume manufacturing solution. The challenge is to develop a cost-effective solution using a process that effectively doubles the lithography steps required for critical layers, while achieving a higher degree of overlay performance. As a result, the light source requirements for DP fall into 3 main categories: (a) higher power to enable higher throughput on the scanner, (b) lower operating costs to offset the increased number of process steps, and (c) high stability of optical parameters to support more stringent process requirements. The XLR 600i (6kHz, 90W @15mJ) was introduced last year to enable DP by leveraging the higher performance and lower operating costs of the ring architecture XLR 500i (6kHz, 60W @10mJ) platform currently used for 45nm immersion lithography in production around the world. In February 2009, the XLR 600ix was introduced as a 60/90W switchable product to provide flexibility in the transition to higher power requirements as scanner capabilities are enhanced. The XLR 600ix includes improved optics materials to meet reliability requirements while operating at higher internal fluences. In this paper we will illustrate the performance characteristics during extended testing. Examples of performance include polarization stability, divergence and pointing stability, which enable consistent pupil fill under extreme illumination conditions, as well as overall thermal stability which maintains constant beam performance under large changes in laser operating modes. Furthermore, the unique beam uniformity characteristics that the ring architecture generates result in lower peak energy densities that are comparable to those of a typical 60W excimer laser. In combination with the XLR's long pulse duration, this allows for long life scanner optics while operating at 15mJ.
- Published
- 2009
- Full Text
- View/download PDF
7. Laser-produced plasma light source for EUVL
- Author
-
Igor V. Fomenkov, David C. Brandt, Alexander N. Bykanov, Alex I. Ershov, William N. Partlo, Dave W. Myers, Norbert R. Böwering, Nigel R. Farrar, Georgiy O. Vaschenko, Oleh V. Khodykin, Jerzy R. Hoffman, Christopher P. Chrobak, Shailendra N. Srivastava, Daniel J. Golich, David A. Vidusek, Silvia De Dea, and Richard R. Hou
- Published
- 2009
- Full Text
- View/download PDF
8. LPP source system development for HVM
- Author
-
Christopher P. Chrobak, Silvia De Dea, Igor V. Fomenkov, Jerzy R. Hoffman, Georgiy O. Vaschenko, Richard R. Hou, Norbert R. Bowering, William N. Partlo, Oleh V. Khodykin, Daniel J. Golich, David A. Vidusek, David W. Myers, David C. Brandt, Shailendra N. Srivastava, Chirag Rajyaguru, Imtiaz Ahmad, Alexander N. Bykanov, Alex I. Ershov, and Nigel R. Farrar
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Plasma ,Sputter deposition ,engineering.material ,Laser ,law.invention ,Electricity generation ,Optics ,Coating ,law ,Extreme ultraviolet ,engineering ,Photolithography ,business ,Lithography - Abstract
Laser produced plasma (LPP) systems have been developed as a viable approach for the EUV scanner light source for optical imaging of circuit features at sub-32nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on power generation, stable and efficient collection, and clean transmission of EUV light through the intermediate focus. We report on measurements taken using a 5sr collector optic on a production system. Power transmitted to intermediate focus (IF) is shown. The lifetime of the collector mirror is a critical parameter in the development of extreme ultraviolet LPP lithography sources. Deposition of target material as well as sputtering of the multilayer coating or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the results of these techniques are shown. We also report on the fabrication of 5sr collectors and MLM coating reflectivity, and on Sn droplet generators with droplet size down to 30μm diameter.
- Published
- 2009
- Full Text
- View/download PDF
9. Laser-produced plasma source system development
- Author
-
Oleh V. Khodykin, David A. Vidusek, Alex I. Ershov, William N. Partlo, Igor V. Fomenkov, Norbert R. Bowering, Christopher P. Chrobak, Silvia De Dea, David W. Myers, Shailendra N. Srivastava, Alexander N. Bykanov, Richard R. Hou, Jerzy R. Hoffman, Georgiy O. Vaschenko, and David C. Brandt
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Energy conversion efficiency ,Sputter deposition ,Laser ,law.invention ,Optics ,law ,Extreme ultraviolet ,Laser power scaling ,Photolithography ,business ,Lithography - Abstract
This paper describes the development of laser produced plasma (LPP) technology as an EUV source for advanced scanner lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193 nm immersion technology for critical layer patterning below 32 nm beginning with beta generation scanners in 2009. This paper describes the development status of subsystems most critical to the performance to meet joint scanner manufacturer requirements and semiconductor industry standards for reliability and economic targets for cost of ownership. The intensity and power of the drive laser are critical parameters in the development of extreme ultraviolet LPP lithography sources. The conversion efficiency (CE) of laser light into EUV light is strongly dependent on the intensity of the laser energy on the target material at the point of interaction. The total EUV light generated then scales directly with the total incident laser power. The progress on the development of a short pulse, high power CO2 laser for EUV applications is reported. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. The deposition of target materials and contaminants, as well as sputtering of the collector multilayer coating and implantation of incident particles can reduce the reflectivity of the mirror substantially over the exposure time even though debris mitigation schemes are being employed. The results of measurements of high energy ions generated by a short-pulse CO2 laser on a laser-produced plasma EUV light source with Sn target are presented. Droplet generation is a key element of the LPP source being developed at Cymer for EUV lithography applications. The main purpose of this device is to deliver small quantities of liquid target material as droplets to the laser focus. The EUV light in such configuration is obtained as a result of creating a highly ionized plasma from the material of the droplets. Liquid tin is the material of choice to be used as a target due to the relatively high CE of the laser energy into in-band EUV radiation. Results obtained with the droplet generator and technical challenges related to successful implementation of the device are discussed.
- Published
- 2008
- Full Text
- View/download PDF
10. XLR 600i: recirculating ring ArF light source for double patterning immersion lithography
- Author
-
Vladimir B. Fleurov, Theodore Cacouris, Robert N. Jacques, Efrain Figueroa, Daniel J. W. Brown, Hong Ye, Robert A. Bergstedt, Slava Rokitski, William N. Partlo, Kevin M. O'brien, and Fedor B. Trintchouk
- Subjects
Scanner ,Materials science ,Excimer laser ,business.industry ,medicine.medical_treatment ,Laser ,law.invention ,Optics ,law ,Multiple patterning ,medicine ,Optoelectronics ,Photolithography ,business ,Throughput (business) ,Lithography ,Immersion lithography - Abstract
Double patterning (DP) lithography is expected to be deployed at the 32nm node to enable the extension of high NA (≥1.3) scanner systems currently used for 45nm technology. Increasing the light source power is one approach to address the intrinsically lower throughput that DP imposes. Improved energy stability also provides a means to improve throughput by enabling fewer pulses per exposure slit window, which in turn enables the use of higher scanner stage speeds. Current excimer laser light sources for deep UV immersion lithography are operating with powers as high as 60W at 6 kHz repetition rates. In this paper, we describe the introduction of the XLR 600i, a 6 kHz excimer laser that produces 90W power, based on a recirculating ring technology. Improved energy stability is inherent to the ring technology. Key to the successful acceptance of such a higher power, or higher energy laser is the ability to reduce operating costs. For this reason, the recirculating ring technology provides some unique advantages that cannot be realized with conventional excimer lasers today. Longer intrinsic pulse durations that develop in the multi-pass ring architecture reduce the peak power that the optics are subjected to, thereby improving lifetime. The ring architecture also improves beam uniformity that results in a significantly reduced peak energy density, another key factor in preserving optics lifetime within the laser as well as in the scanner. Furthermore, in a drive to reduce operating costs while providing advanced technical capability, the XLR 600i includes an advanced gas control management system that extends the time between gas refills by a factor of ten, offering a significant improvement in productive time. Finally, the XLR 600i provides a novel bandwidth stability control system that reduces variability to provide better CD control, which results in higher wafer yields.
- Published
- 2008
- Full Text
- View/download PDF
11. Laser-produced plasma source system development
- Author
-
Igor V. Fomenkov, David C. Brandt, Alexander N. Bykanov, Alexander I. Ershov, William N. Partlo, David W. Myers, Norbert R. Böwering, Georgiy O. Vaschenko, Oleh V. Khodykin, Jerzy R. Hoffman, Ernesto Vargas L., Rodney D. Simmons, Juan A. Chavez, and Christopher P. Chrobak
- Published
- 2007
- Full Text
- View/download PDF
12. LPP EUV source development for HVM
- Author
-
Georgiy O. Vaschenko, Alex I. Ershov, Jerzy R. Hoffman, Norbert R. Bowering, Igor V. Fomenkov, Oleh V. Khodykin, Rodney D. Simmons, David W. Myers, Christopher P. Chrobak, David C. Brandt, Juan A. Chavez, William N. Partlo, Alexander N. Bykanov, and Ernesto Vargas L.
- Subjects
Materials science ,Optics ,business.industry ,Extreme ultraviolet lithography ,business - Published
- 2007
- Full Text
- View/download PDF
13. LPP EUV source development for HVM
- Author
-
Björn A. M. Hansson, Igor V. Fomenkov, Norbert R. Böwering, Alex I. Ershov, William N. Partlo, David W. Myers, Oleh V. Khodykin, Alexander N. Bykanov, Curtis L. Rettig, Jerzy R. Hoffman, Ernesto Vargas L., Rod D. Simmons, Juan A. Chavez, William F. Marx, and David C. Brandt
- Subjects
business.industry ,Etendue ,Computer science ,Extreme ultraviolet lithography ,Energy conversion efficiency ,Plasma ,Laser ,Metrology ,law.invention ,Optics ,Optical coating ,Semiconductor ,law ,Extreme ultraviolet ,Electronic engineering ,Laser power scaling ,business - Abstract
This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, intermediate-focus (IF) metrology, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. Results from several full-scale prototype systems are discussed. In addition, a multitude of smaller lab-scale experimental systems have also been constructed and tested. This paper reviews the latest experimental results obtained on these systems with a focus on the topics most critical for an HVM source. Laser produced plasma systems have been researched as probable light source candidates for an EUV scanner for optical imaging of circuit features at 32nm and beyond nodes on the ITRS roadmap. LPP systems have inherent advantages over alternative source types, such as Discharge Produced Plasma (DPP), with respect to power scalability, etendue, collector efficiency, and component lifetime. The capability to scale LPP power with repetition rate and modular design is shown. A path to meet requirements for production scanners planned well into the next decade is presented. This paper includes current testing results using a 320mm diameter near-normal-incidence elliptical collector, the first to be tested in a full-scale LPP system. With the collector in-situ, intermediate focus (IF) metrology capability is enabled, and data is presented that describes the quality of light at IF.
- Published
- 2006
- Full Text
- View/download PDF
14. High power low cost drive laser for LPP source
- Author
-
L Ernesto Vargas, Alexander N. Bykanov, Curtis L. Rettig, Bjorn A. M. Hansson, Juan A. Chavez, William F. Marx, Jerzy R. Hoffman, Igor V. Fomenkov, Oleh V. Khodykin, Vladimir B. Fleurov, Norbert R. Bowering, Alex I. Ershov, David C. Brandt, and William N. Partlo
- Subjects
Power gain ,Engineering ,business.industry ,Amplifier ,Electrical engineering ,Laser ,Q-switching ,law.invention ,law ,Power electronics ,Electronic engineering ,Laser power scaling ,Laser beam quality ,business ,Electrical efficiency - Abstract
We report on the approach for a high-power high-beam-quality drive laser system that is used for a laser-produced plasma (LPP) EUV source. Cymer has conducted research on a number of solutions for a multi-kW drive laser system that satisfy high volume production requirements. Types of lasers to be presented include XeF at 351 nm and CO2 at 10.6 micron. We report on a high efficiency XeF amplifier with a 3rd harmonic Nd:YLF master oscillator operated in the 6 to 8 kHz range and a CO2 laser system with Q-switched cavity dumped master oscillator and RF pumped fast axial flow amplifiers operated in the 10 to 100 kHz range. CO2 laser short pulse gain and optical isolation techniques are reported. Optical performance data and design features of the drive laser system are discussed, as well as a path to achieve output power scaling to meet high volume manufacturing (HVM) requirements and beyond. Additionally, the electrical efficiency as a component of cost of operation is presented. Development of a drive laser with sufficient output power, high beam quality, and economical cost of operation is critical to the successful implementation of a laser-produced-plasma (LPP) EUV source for HVM applications. Cymer has conducted research on a number of solutions to this critical need. We report our progress on development of a high power system with two gas-discharge power amplifiers to produce high output power with high beam quality. We provide optical performance data and design features of the drive laser as well as a path to output power scaling to meet HVM requirements. Development of a drive laser for LPP EUV source is a challenging task. It requires multi-kW laser output power with short pulse duration and diffraction limited beam quality. In addition, this system needs to be very reliable and cost-efficient to satisfy industry requirements for high volume integrated circuit manufacturing. Feasibility studies of high power laser solutions that utilize proven laser technologies in high power optical gain modules and deliver required beam properties have been performed and are reported.
- Published
- 2006
- Full Text
- View/download PDF
15. 6 kHz MOPA light source for 193 nm immersion lithography
- Author
-
William N. Partlo, Toshihiko Ishihara, Walter D. Gillespie, George X. Ferguson, and Michael R. Simon
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Detector ,law.invention ,Power (physics) ,Core (optical fiber) ,Optics ,law ,Optoelectronics ,Photolithography ,business ,Lithography ,Next-generation lithography ,Immersion lithography - Abstract
Volume production immersion lithography scanners will require new light sources offering increased output power while delivering improved dose stability over a shorter exposure window. Scaling the light source repetition rate from 4 to 6 kHz is the logical step toward meeting those combined requirements. We will present the results of the latest progress towards developing a 193 nm, 6 kHz light-source using Cymer’s proprietary MOPA technology. We will discuss how the design of critical core technology elements, such as the discharge chamber, the solid-state-pulsed-power modules and opto-electronic detectors within the system are modified to handle the higher average power, thermal demands, and speed to support reliable operation up to 6kHz. The XLA platform, which is already used on three generations of 193 nm MOPA light source, allows seamless integration of these improved technology elements into a reliable, proven product platform. We will also report results of the characterization of the optical parameters critical to the lithography process, such as spectral bandwidth and its stability, energy stability and dose stability, up to 6 kHz.
- Published
- 2005
- Full Text
- View/download PDF
16. Protection of collector optics in an LPP based EUV source
- Author
-
William F. Marx, Norbert R. Bowering, William N. Partlo, Ernesto Vargas, Oleh V. Khodykin, Curtis L. Rettig, Jerzy R. Hoffman, Alex I. Ershov, and Igor V. Fomenkov
- Subjects
Materials science ,Energetic neutral atom ,business.industry ,Extreme ultraviolet lithography ,chemistry.chemical_element ,Plasma ,Chemical species ,Optical coating ,Xenon ,Optics ,chemistry ,Extreme ultraviolet ,Deposition (phase transition) ,business - Abstract
In a laser produced plasma (LPP) EUV source the multilayer mirror (MLM) collector optic will be exposed to a flux of energetic ions and neutral atoms ejected from the plasma as well as condensable vapor from excess target material. We are investigating various techniques for reducing the contamination flux and for in-situ removal of the contamination. The protection strategies under investigation must be compatible with gaseous and condensable target materials such as Xe, Sn, In, Li, and other elements. The goal is to develop MLM structures that can withstand elevated temperatures and develop protective barrier coatings that reduce erosion of the mirror surface. Results of MLM exposure to energetic ion beams and thermal atomic sources are presented. Changes in EUV reflectivity of MLM structures after exposure to ions and deposition of target material have been performed on samples cleaned by these developmental processes. In this paper, we will summarize our initial results in these areas and present techniques for mitigation of MLM damage from the source.
- Published
- 2005
- Full Text
- View/download PDF
17. Development in gas-discharge drive lasers for LPP EUV sources
- Author
-
William N. Partlo, Thomas D. Steiger, P. C. Oh, I. F. Fomenkov, and Vladimir B. Fleurov
- Subjects
Engineering ,business.industry ,Amplifier ,Extreme ultraviolet lithography ,Electrical engineering ,Injection seeder ,Laser ,Power (physics) ,law.invention ,Electric power system ,law ,Laser power scaling ,Laser beam quality ,business - Abstract
Development of a drive laser with sufficient output power, high beam quality, and economical cost of consumables is critical to the successful implementation of a laser-produced plasma (LPP) EUV source for HVM applications. Cymer has conducted research on a number of solutions to this critical need. We report our progress on development of a high power system using two gas-discharge power amplifiers and repetition rates exceeding 10 kHz to produce more than 2kW output power with high beam quality. We provide optical performance data and design features of the drive laser as well as a path to output power scaling to meet high volume manufacturing requirements
- Published
- 2005
- Full Text
- View/download PDF
18. LPP EUV conversion efficiency optimization
- Author
-
Oleg Khodykin, Norbert R. Bowering, William N. Partlo, Jerzy R. Hoffman, Igor V. Fomenkov, Alexander N. Bykanov, Alex I. Ershov, and Dave Myers
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Energy conversion efficiency ,Radiation ,Laser ,law.invention ,Wavelength ,Optics ,law ,Extreme ultraviolet ,Laser power scaling ,business ,Intensity (heat transfer) - Abstract
Efficient conversion of laser light into EUV radiation is one of the most important problems of the laser-produced plasma (LPP) EUV source. Too low a conversion efficiency (CE) increases the amount of power the drive laser will have to deliver, which, besides the obvious laser cost increase, also increases the thermal load on all the components and can lead to increased debris generation. In order to meet the requirements for a high-volume manufacturing (HVM) tool and at the same time keep the laser power requirements within acceptable limits, a CE exceeding 2.5% is likely to be required. We present our results on optimizing conversion efficiency of LPP EUV generation. The optimization parameters include laser wavelength, target material, and laser pulse shape, energy and intensity. The final choice between parameter sets that leads to the required minimum CE is dependent on the debris mitigation solutions and the laser source available for a particular parameter set.
- Published
- 2005
- Full Text
- View/download PDF
19. Verification of compaction and rarefaction models for fused silica with 40 billion pulses of 193-nm excimer laser exposure and their effects on projection lens imaging performance
- Author
-
Yasuo Hasegawa, Richard L. Sandstrom, Kazuhiro Takahashi, J. Martin Algots, Hiroyuki Ishii, and William N. Partlo
- Subjects
Wavefront ,Materials science ,Excimer laser ,business.industry ,medicine.medical_treatment ,Laser ,law.invention ,Lens (optics) ,Light intensity ,Interferometry ,Optics ,law ,medicine ,Reticle ,Photolithography ,business - Abstract
Extensive testing of fused silica has been completed over the past few years by several researchers. A generalized model has been proposed that describes both the compaction that occurs at high peak intensities and the rarefaction that occurs at lower peak intensities. An exposure setup has been constructed to help verify these damage models and to determine the fitting parameters for various types and processing of fused silica. This setup simultaneously exposes samples to two sets of intensities, each set with a different integrated-square pulse width. To make these tests relevant to the microlithography community, the energy densities chosen for these tests span the range found in the projection optics of a 193nm excimer laser-based microlithography tool (50μj/cm 2 - 400μj/cm 2 ). The samples have been exposed for 40 billion pulses with wavefront measurements made periodically with both 633nm interferometry and 193mn interferometry. This large number of pulses represents the equivalent of several years worth of lens exposure. Data for several fused silica samples will be presented and comparisons will be made to the proposed damage model. According to the obtained parameters, optical performance will be estimated during long term laser exposure on the projection optics. We take into consideration actual light intensity on each lens element for several illumination conditions. We will discuss the difference of the impact on optical performance between different fused silica materials, illumination conditions, reticle transmission, pulse durations, number of pulses, and so on.
- Published
- 2004
- Full Text
- View/download PDF
20. Optimization of a dense plasma focus device as a light source for EUV lithography
- Author
-
Igor V. Fomenkov, Richard M. Ness, William N. Partlo, Stephan T. Melnychuk, Oleh V. Khodykin, Norbert R. Boewering, and Ian Roger Oliver
- Subjects
Xenon ,Optics ,Dense plasma focus ,Continuous operation ,Chemistry ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Buffer gas ,Energy conversion efficiency ,chemistry.chemical_element ,Plasma ,business - Abstract
Since the initial demonstration of EUV emission with Xenon as a source gas in Cymer's Dense Plasma Focus (DPF) device, significant effort has been spent exploring the parameter space for optimization of efficient generation of EUV radiation. Parameters included in this investigation are He and Xe pressure and flow rates, electrode geometries, pre-ionization characteristics, and duty factor related performance issues. In these investigations it was found that the location of the He (buffer gas) and Xe (working gas) gas injection ports as well as the pressures and flow rates of the gas mixture components had a strong impact on EUV emission efficiency. Additional constraints on the gas recipe are also derived from gas absorption of the EUV radiation and the desire to provide debris mitigation properties. Best results to date have been obtained with an axially symmetric buffer gas injection scheme coupled with axial Xe injection through the central electrode. The highest conversion efficiency obtained was 0.42 percent at 12.4 J of input energy. Measurements of energy stability show a 10 percent standard deviation at near optimum EUV output. The matching of the drive circuit to the pinch as determined by the damping of the voltage overshoot waveforms was found to depend strongly on the He and Xe pressures. Energy Dispersive X-Ray (EDX) analysis of the debris emitted from the source shows that the primary sources of the debris are the central electrode and the insulator. No evidence of cathode material has been found. In addition to efforts toward more efficient operation, first phase efforts of thermal engineering have been undertaken, which have led to continuous operation at 200 Hertz with conventional direct water cooling. The system can be operated at higher repetition rates with proportionally lower duty cycles. The data will show the distribution of thermal power throughout the whole system. This more detailed understanding of the thermal power flow allows us to better determine the ultimate high volume manufacturing potential of this source technology.
- Published
- 2002
- Full Text
- View/download PDF
21. Wavelength stabilization in an excimer laser source using piezoelectric active vibration control
- Author
-
Ronald L. Spangler, Daniel J. W. Brown, Robert N. Jacques, William N. Partlo, and John M Algots
- Subjects
Engineering ,Excimer laser ,business.industry ,medicine.medical_treatment ,Acoustics ,Vibration control ,Laser ,law.invention ,Vibration ,Wavelength ,law ,Active vibration control ,Control system ,medicine ,Electronic engineering ,Photolithography ,business - Abstract
Excimer laser light sources for photolithography are subject to a cycle of ever-tightening precision requirements, dictated by the design-rule shrinks planned into the industry roadmap. But pulse-to-pulse stability of the center wavelength of the emitted light is limited by the presence of vibration in key components and structures. This paper covers the application of Active Vibration Control (AVC) technology to an excimer laser to mitigate the effects unwanted vibration, and enable compliance with anticipated future stability specifications. The laser system is described, from a structural-dynamics point of view. A systematic approach to vibration diagnostics is presented, with experimental results to support key conclusions regarding the types and sources of vibrations. Next, analytical assessment of active control performance is discussed, followed by breadboard-type implementation results showing reductions of >30% in a key stability performance metric.
- Published
- 2001
- Full Text
- View/download PDF
22. Progress toward use of a dense plamsa focus as a light source for production EUV lithography
- Author
-
John E. Rauch, Richard M. Ness, William N. Partlo, Igor V. Fomenkov, Ian Roger Oliver, and Stephan T. Melnychuk
- Subjects
Dense plasma focus ,business.industry ,Chemistry ,Extreme ultraviolet lithography ,chemistry.chemical_element ,Radiation ,law.invention ,Optics ,Xenon ,law ,Extreme ultraviolet ,Dielectric mirror ,Optoelectronics ,Photolithography ,business ,Spectral purity - Abstract
Recent advances in the Dense Plasma Focus (DPR) under investigation by Cymer as an EUV light source have increased both the total energy storage capacity and the peak drive current. Previous generation DPF tools built by Cymer produced no measurable EUV radiation while employing Xenon as a source gas, but instead employed Lithium vapor due to its higher emission efficiency at low plasma temperatures. With Xenon as a source gas, this generation DPF exhibits efficiency similar to other sources employing direct electrical drive of the Xenon plasma. An emission efficiency of greater than 0.20% has been measured into 2(pi) str and 2% bandwidth centered at 13.5 nm. Other characteristics of this DPF have been measured such as energy stability, spectrum, source size, position stability, and operation at high repetition rates. In addition, the out-of-band radiation in the UV/Vis region (130nm-1300nm) has been measured and found to be only 0.38% of all radiation emitted by this source. Such low out-of-band radiation opens up the possibility of eliminating the Spectral Purity Filter and the problems associated with its use. This source concept does not use a multi-layer dielectric mirror as the first collector, but instead employs a grazing incidence collector that is more tolerant to debris. Progress has been made in the fabrication quality of this optic. Recent measurements of prototypes show that this optic will not degrade the source brightness. Also, a more efficient design has been created that consist of two shells coated with Ruthenium. This design collects and re-images 18.6% of the EUV radiation emitted into 2(pi) str.
- Published
- 2001
- Full Text
- View/download PDF
23. Development of an EUV (13.5 nm) light source employing a dense plasma focus in lithium vapor
- Author
-
William N. Partlo, Ian Roger Oliver, Daniel L. Birx, and Igor V. Fomenkov
- Subjects
Dense plasma focus ,business.industry ,Extreme ultraviolet lithography ,Energy conversion efficiency ,chemistry.chemical_element ,Plasma ,law.invention ,Optics ,chemistry ,law ,Extreme ultraviolet ,Pinhole camera ,Lithium ,business ,Plasma stability - Abstract
Initial characterization efforts of Dense Plasma Focus (DPF) technology showed that efficient conversion of electrical energy into in-band emitted radiation could be achieved. Results previously reported showed that 25 J of electrical energy can be converted into 0.38 J of in-band, 13.5 nm radiation emitted into 2 (pi) steradians. This prototype configuration demonstrated a 1.5% conversion efficiency into 2 (pi) steradians, but exhibited several major drawbacks. The two greatest issues were excessively high stored energy per pulse and poor stability of the plasma size and position. Such high input energies would limit the maximum pulse repetition rate and poor plasma stability would lead to excessive electrode erosion and large integrated source size. Recent efforts have concentrated on reducing the required input energy while simultaneously improving stability. The result of these efforts is a DPF system that exhibits table operation with as little as 1.5 J of input energy and has demonstrated pulse repetition rates as high as 2500 Hz. Once a stable, low input energy DPF was achieved, this prototype DPF device was fitted with a simple Lithium vapor delivery system. Pinhole camera images of the Lithium vapor source show that it is stable with a size of less than 350 micrometer FWHM. In this technology's present state, the potential in-band collectable EUV optical power is estimated to be 6.9 W.
- Published
- 2000
- Full Text
- View/download PDF
24. Feasibility of highly line-narrowed F 2 laser for 157-nm microlithography
- Author
-
Richard L. Sandstrom, Thomas P. Duffey, William N. Partlo, Eckehard D. Onkels, and Alexander I. Ershov
- Subjects
Optical amplifier ,Physics ,business.industry ,Amplifier ,Physics::Optics ,Laser ,law.invention ,Resonator ,Optics ,law ,Optoelectronics ,Laser power scaling ,business ,Diffraction grating ,Beam splitter ,Fabry–Pérot interferometer - Abstract
Highly line-narrowed F 2 laser operation in the VUV has been achieved for the first time by means of a master oscillator/power amplifier laser design. Different concepts have ben investigated experimentally for the master oscillator (MO) in order to obtain narrowband spectra. The diffraction grating based design showed to be limited to a FWHM of approximately 0.4 pm. The spectral FWHM of the MO could be further reduced to below 0.3 pm with a double etalon-based resonator. Single pass amplification was employed to increase the beam energy density of the beam up to 50 mJ/cm 2 . The spectral FWHM of the amplified light is slightly larger than the FWHM of the correspondent MO radiation, indicating saturation and/or inhomogeneous broadening of the F 2 amplifier medium. Experimental data obtained from broadband operation and ASE measurements suggests that the free running bandwidth of F 2 lasers result form spectral gain-narrowing of the laser medium.
- Published
- 2000
- Full Text
- View/download PDF
25. Performance of very high repetition rate ArF lasers
- Author
-
Dmitri V. Gaidarenko, Thomas Hofmann, Scot T. Smith, Kyle R. Webb, Herve A. Besaucele, William N. Partlo, Rick Eis, Paul C. Melcher, Vladimir B. Fleurov, Alexander I. Ershov, Bernard K. Nikolaus, Palash P. Das, and Jean-Marc Hueber
- Subjects
Scanner ,Optics ,business.industry ,law ,Computer science ,Robustness (computer science) ,Electronic engineering ,3d scanning ,business ,Laser ,Laser optics ,law.invention - Abstract
We report the performance of a very high repetition rate ArF laser optimized for next generation, high NA, high throughput scanner. The laser's repetition rate exceeds 4kHz, at 5mJ, and at bandwidths of less than 1.2 pm. We discuss the complexity of high power operation, and make some estimates about the robustness of this technology. In particular, we discuss the risks of scaling to this high repetition rate, and prospects of exceeding 4kHz to near 6kHz with 95 percent bandwidths of less than 1pm.
- Published
- 2000
- Full Text
- View/download PDF
26. Production-ready 2-kHz KrF excimer laser for DUV lithography
- Author
-
Thomas Hofmann, William N. Partlo, Richard Dunning, Palash P. Das, Gunasiri G. Padmabandu, Paolo Zambon, Dave Myers, Christopher Hysham, and Tom A. Watson
- Subjects
Materials science ,Excimer laser ,business.industry ,medicine.medical_treatment ,Extreme ultraviolet lithography ,Laser ,law.invention ,Semiconductor laser theory ,Reliability (semiconductor) ,law ,medicine ,Optoelectronics ,Wafer ,business ,Lithography ,Next-generation lithography - Abstract
Now that 1000 Hz KrF excimer laser based DUV lithography tools are firmly established in production, emphasis is shifting from development towards improving the productivity and profitability of the manufacturing process, thereby reducing the cost per wafer. In this arena, laser manufacturers are competing now not only on performance but also on cost and productivity enhancements that the laser can offer to the lithography process.
- Published
- 1999
- Full Text
- View/download PDF
27. EUV (13.5-nm) light generation using a dense plasma focus device
- Author
-
William N. Partlo, Igor V. Fomenkov, and Daniel L. Birx
- Subjects
Dense plasma focus ,Spectrometer ,business.industry ,Chemistry ,Extreme ultraviolet lithography ,Plasma ,Pulsed power ,Photodiode ,law.invention ,Optics ,law ,Extreme ultraviolet ,business ,Diode - Abstract
A dense plasma focus (DPF) device has been investigated as a source for EUV lithography. Initial characterizations have been made of a prototype DPF employing an all-solid-state pulse power drive. Using the results from a vacuum grating spectrometer combined with measurements with a silicon photo diode, it has been found that substantial amounts of radiation within the reflectance band of Mo/Si mirrors can be generated using the 13.5 nm emission line of doubly ionized Lithium. This prototype DPF converts 25J of stored electrical energy per pulse into approximately 0.76J of in- band 13.5nm radiation emitted into 4(pi) steradians. The pulse repetition rate performance of this device has been investigated up to its DC power supply limit of 200Hz. No significant reduction in EUV output was found up to this repetition rate. At 200Hz, the measured pulse-to-pulse energy stability was (sigma) equals 6 percent and no drop out pulses were observed. The electrical circuit and operation of this prototype DPF device is presented along with a description of several future modifications intended to improve stability and efficiency.
- Published
- 1999
- Full Text
- View/download PDF
28. ArF lasers for production of semiconductor devices with CD<0.15 μm
- Author
-
Richard L. Sandstrom, Richard G. Morton, Thomas P. Duffey, Toshihiko Ishihara, Todd J. Embree, Tom A. Watson, and William N. Partlo
- Subjects
Materials science ,business.industry ,Semiconductor device ,Pulsed power ,Laser ,law.invention ,Semiconductor laser theory ,Full width at half maximum ,Optics ,law ,Optoelectronics ,Laser power scaling ,business ,Diffraction grating ,Pulse-width modulation - Abstract
The present day notion of the extensibility of KrF laser technology to ArF is revisited. We show that a robust solution to ArF requirements can be met by significantly altering the laser's core technology-discharge chamber, pulsed power and optics. With these changes, a practical ArF tool can be developed. Some of the laser specifications are: Bandwidth: 0.6 pm (FWHM) 1.75 pm (95% Included Energy); Average Power: 5 W; Repetition Rate: 1000 Hz; Energy Stability (3(sigma) ): 20% (burst mode) 8% (continuous); Pulse Width: 25 ns.
- Published
- 1998
- Full Text
- View/download PDF
29. Feasibility studies of operating KrF lasers at ultranarrow spectral bandwidths for 0.18-μm line widths
- Author
-
Alexander I. Ershov, Thomas Hofmann, Dave Myers, Palash P. Das, Igor V. Fomenkov, George J Everage, and William N. Partlo
- Subjects
Materials science ,business.industry ,Laser cutting ,Grating ,Laser ,law.invention ,Laser linewidth ,Optics ,law ,Optoelectronics ,Coherence (signal processing) ,Prism ,Photolithography ,business ,Diffraction grating - Abstract
The use of higher NA lenses and higher throughput of the next generation 248 nm microlithography systems sets tight requirements on the spectral properties of the laser as well as its power output and dose stability. We demonstrate that such scaling of spectral widths, power and repetition rates is possible by revisiting some of the dynamics of evolution of laser spectrum and stability of laser discharge. In the following, we present results of several optical configurations, that result in spectral widths between 1.0 and 2.0 pm (95% integrated linewidth). The optical configurations are derivatives of Cymer's standard Littrow grating and prism expander configuration. Thereby, the other parameters (beam size, coherence, etc.) are not impacted. Simultaneously, we provide results of scaling a laser to 2 kHz with a dose stability of less than plus or minus 0.5% over a 16 ms window. The resulting laser is now capable of meeting the technical requirements of the next generation microlithography scanners.
- Published
- 1998
- Full Text
- View/download PDF
30. Performance of 1-kHz KrF excimer laser for DUV lithography
- Author
-
Palash P. Das, Igor V. Fomenkov, Richard G. Morton, Ray Cybulski, Richard L. Sandstrom, Cynthia A. Maley, and William N. Partlo
- Subjects
Materials science ,Excimer laser ,business.industry ,medicine.medical_treatment ,3d scanning ,Pulsed power ,Laser ,law.invention ,Optics ,law ,medicine ,Optoelectronics ,Wafer ,Photolithography ,business ,Lithography - Abstract
In response to the requirement for higher wafer throughput and increased dosage accuracy in DUV lithography steppers and scanners, Cymer has developed a 1 kHz KrF laser optimized for this application. We shall describe its performance and design features.
- Published
- 1997
- Full Text
- View/download PDF
31. Design considerations and performance of 1-kHz KrF excimer lasers for DUV lithography
- Author
-
Richard L. Sandstrom, William N. Partlo, Richard G. Morton, Palash P. Das, and Igor V. Fomenkov
- Subjects
Millisecond ,Materials science ,business.industry ,Optical engineering ,Bandwidth (signal processing) ,Laser ,law.invention ,Design for manufacturability ,Full width at half maximum ,Optics ,law ,Optoelectronics ,business ,Lasing threshold ,Lithography - Abstract
The operation of 1 kHz KrF lasers for DUV lithography applications requires a design which minimizes perturbations to the optical and electrical properties of the gas present, at one millisecond intervals in the lasing region and vicinity. The optimum design results from a compromise between electrical and fluid dynamic requirements, since these cannot be simultaneously fully satisfied. Other constraints on a commercially viable design are those rooted in issues such as manufacturability, safety, cost, compatibility with fluorine, and service lifetime of the resulting structure. CYMER has successfully engineered a laser which produces linear average power output scaling with pulse repetition rates to 1 kHz at a line- narrowed bandwidth of less than 0.8 pm. The stabilized pulse energy is 10 mJ with a FWHM of approximately 15 nS, producing an average power of 10W at 1 kHz pulse repetition rate. The 3(sigma) value of pulse energy stability is 5 percent. In addition, the chamber exhibits low fluorine consumption and a lifetime in excess of 2 billion shots. Measured performance data are presented along with a general system layout and facilities requirements.© (1996) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 1996
- Full Text
- View/download PDF
32. Low cost of ownership KrF excimer laser using a novel pulse power and chamber configuration
- Author
-
Richard L. Sandstrom, Palash P. Das, Igor V. Fomenkov, and William N. Partlo
- Subjects
Materials science ,Excimer laser ,Silicon ,business.industry ,medicine.medical_treatment ,chemistry.chemical_element ,Laser pumping ,Thyratron ,Pulsed power ,Laser ,law.invention ,Optics ,chemistry ,law ,Electrode ,medicine ,Laser power scaling ,business ,health care economics and organizations - Abstract
A KrF excimer laser using an all solid state Pulse Power Modulator (PPM) has been studied. This PPM configuration replaces the commonly used thyratron switch with a Silicon Controlled Rectifier (SCR) switch combined with a pulse compression-voltage multiplication circuit. Use of this PPM has extended the useful chamber life of a line-narrowed KrF excimer laser from 1.5 billion to 2.5 billion pulses. Broadband KrF laser performance, optimized for mirror based scanner systems, has also been investigated. A minimum broadband chamber life of 5 billion pulses has been demonstrated with this solid state PPM. While a thyratron-based PPM exhibits an expected lifetime of 3 billion pulses, the solid state PPM used in these experiments has been operated for greater than 6 billion pulses without any decrease in performance. Since 72% of the replacement parts cost for the ELS-4000D line-narrowed excimer laser is due to periodic chamber and PPM replacement, significant cost of ownership reduction is realized by extending the lifetime of the chamber and the PPM.
- Published
- 1995
- Full Text
- View/download PDF
33. Aerial image measurements on a commercial stepper
- Author
-
Charles H. Fields, William G. Oldham, and William N. Partlo
- Subjects
Materials science ,business.industry ,Image processing ,Image plane ,Pinhole ,law.invention ,Lens (optics) ,Optics ,Resist ,law ,Image sensor ,Stepper ,business ,Aerial image - Abstract
A new method of testing high numerical aperture microlithographic lenses involves measuring the aerial image produced by the lens rather than using developed resist profiles. Direct measurement of the aerial image eliminates any process variations associated with the resist processing and also removes the subjective nature of evaluating resist profiles. The means of characterizing the aerial image is to measure the image intensity from grating patterns positioned at the image plane. Our image monitor used an artifact mask cover with 2-D scanning pinholes placed over a photodetector. This pinhole cover was fabricated in an 800 angstrom thick layer of amorphous silicon. The size of the pinholes is 0.2 micrometers and the pitch of the pinholes is 6.0 micrometers . This system of Aerial Image Measurement (AIM) has been successfully implemented on a 0.53 NA, deep-UV (DUV) microlithography stepper. In this paper we present the results of various direct aerial images such as elbows, contacts and isolated lines and space patterns measured with this technique. These images are produced from conventional chrome DUV masks.
- Published
- 1994
- Full Text
- View/download PDF
34. Quarter-micron lithography using a deep-UV stepper with modified illumination
- Author
-
Anthony Yen, Shane R. Palmer, Maureen A. Hanratty, William N. Partlo, and Michael C. Tipton
- Subjects
Depth of focus ,Materials science ,business.industry ,Aperture ,Numerical aperture ,law.invention ,Lens (optics) ,Optics ,Resist ,law ,Optoelectronics ,Stepper ,Photolithography ,business ,Lithography - Abstract
We have investigated the use of annular illumination on a KrF excimer laser stepper ((lambda) equals 248 nm) working near the resolution limit of the lens. The numerical aperture of the lens was 0.48 and the illuminator-lens combination produced a partial coherence of 0.44. With a central obscuration equal to 75% of the diameter of the illuminator aperture in place and using a surface-imaging resist process, we have increased the depth of focus for 0.25 micrometers dense lines and spaces from 0.9 micrometers at one point in the imaging field to 1.5 micrometers . Performance for dense contacts was also improved. These improvements demonstrate the feasibility of 0.25 micrometers technology with deep-UV lithography.
- Published
- 1993
- Full Text
- View/download PDF
35. Depth of focus and resolution enhancement of i-line and deep-UV lithography using annular illumination
- Author
-
Paul Francis Michaloski, Paul Jay Tompkins, William N. Partlo, and Paul G. Dewa
- Subjects
Depth of focus ,Materials science ,business.industry ,Conical surface ,Collimated light ,Critical illumination ,law.invention ,Optics ,law ,Reticle ,Optoelectronics ,Köhler illumination ,Photolithography ,business ,Lithography - Abstract
Annular illumination has been studied as a method for improving depth of focus (DOF) in microlithographic systems. A 2X increase in DOF for 0.25 micrometers dense line/space features has been demonstrated using a deep-UV exposure tool with annular illumination. The same increase in DOF for 0.35 micrometers dense line/space patterns has been demonstrated using an i- line exposure tool employing annular illumination. No improvement in isolated features has been found. Annular illumination exhibits no degradation in isolated feature DOF, but the critical dimension (CD) split between dense and isolated features is affected when using annular illumination. Prototype i-line and deep-UV annular illumination systems have been built and tested which minimize the reduction in intensity and loss of uniformity control when using annular illumination. We have employed the use of conical optics as a high efficiency method of producing ring-shaped illumination in an i-line illumination system. The deep-UV prototype system uses a pre-uniformizer device to convert the collimated excimer laser light into a flat-top pupil fill which is then centrally obscured to produce annular illumination.
- Published
- 1993
- Full Text
- View/download PDF
36. Optimizing NA and sigma for subhalf-micrometer lithography
- Author
-
Setha G. Olson, James E. Connors, William N. Partlo, and Christopher Sparkes
- Subjects
Physics ,Depth of focus ,business.industry ,Sigma ,law.invention ,Numerical aperture ,Micrometre ,symbols.namesake ,Optics ,law ,symbols ,Photolithography ,Rayleigh scattering ,business ,Critical dimension ,Lithography - Abstract
The effects of numerical aperture (NA) and partial coherence ((sigma) ) on lithographic performance have been investigated. A deep-UV exposure system with a variable objective NA from 0.53 to 0.35 was used to obtain experimental results. The illuminator system has a variable NA from 0.39 to 0.16. The variable illuminator allows a partial coherence of 0.74 to 0.31 for the 0.53 objective NA and a partial coherence greater than one for the 0.35 objective NA. Experimental measurements have been performed using both negative XP89-131 photoresist and positive APEX-E. These results show that the realizable depth of focus (DOF) for a given NA does not always follow the Rayleigh equation or simple contrast threshold simulation models. Maximum DOF for 0.35 dense line/space features imaged in APEX-E was obtained with an NA of 0.40 while maximum DOF for 0.25 micrometers features was obtained with the full 0.53 NA. Both results differ from simulation and Rayleigh's equation. Partial coherence has also been found to affect DOF. Higher partial coherence values lead to higher DOF in both positive and negative photoresist. A second effect of variable partial coherence is the effect on the critical dimension (CD) split between dense and isolated lines. For example, 0.35 micrometers features imaged in APEX-E with 0.53 NA exhibit a 5 nm dense/iso CD split with (sigma) equals 0.74, but the dense/iso split with (sigma) equals 0.44 is 70 nm.
- Published
- 1993
- Full Text
- View/download PDF
37. Characterization methods for excimer exposure of deep-UV pellicles
- Author
-
William N. Partlo and William G. Oldham
- Subjects
Materials science ,Excimer laser ,business.industry ,medicine.medical_treatment ,Analytical chemistry ,Excimer ,Ultraviolet visible spectroscopy ,Ellipsometry ,medicine ,Optoelectronics ,business ,Absorption (electromagnetic radiation) ,Spectroscopy ,Densitometry ,Refractive index - Abstract
A variety of instruments are used to monitor the aging of pellicles exposed to deep UV radiation including densitometry, VFIR spectroscopy, UV spectroscopy, and ellipsometry. By far the most useful measurement is insitu transmission monitoring during exposure. A stable apparatus has been constructed and good lransmission versus dose data obtained for a variety of pellicle materials. Using a light pipe uniformer fed by a KrF excimer laser, dose rates up to 0.4W/cm2 can be obtained. Pellicle transmission changes due to optical thickness changes, ablation of AR-coatings, and increased bulk absorption have been observed (in typical oder of importance). SpectrOscopy is used to extract a pellicle's refractive index and physical thickness after various stages of exposure. It has been found that the pellicle's physical thickness changes with exposure while it maintains an essentially constant refractive index. A method for measuring the pellicle's thickness during exposure has been developed. Through this method, is has been found that a dark reaction (continued thickness loss) occurs long after the deep-UV illumination is terminated.
- Published
- 1990
- Full Text
- View/download PDF
38. An Experimental Characterization System for Deep Ultra-Violet (UV) Photoresists
- Author
-
Dean M. Drako, Andrew R. Neureuther, William N. Partlo, and William G. Oldham
- Subjects
Materials science ,Spectrometer ,Excimer laser ,business.industry ,Interface (computing) ,Optical engineering ,medicine.medical_treatment ,Laser ,Semiconductor laser theory ,law.invention ,symbols.namesake ,Optics ,Fourier transform ,Resist ,law ,symbols ,medicine ,business - Abstract
A versatile system designed specifically for experimental automated photoresist characterization has been constructed utilizing an excimer laser source for exposure at 248nm. The system was assembled, as much as possible, from commercially available components in order to facilitate its replication. The software and hardware are completely documented in a University of California-Berkeley Engineering Research Lab Memo. An IBM PC-AT compatible computer controls an excimer laser, operates a Fourier Transform Infrared (FTIR) Spectrometer, measures and records the energy of each laser pulse (incident, reflected, and transmitted), opens and closes shutters, and operates two linear stages for sample movement. All operations (except FTIR data reduction) are managed by a control program written in the "C" language. The system is capable of measuring total exposure dose, performing bleaching measurements, creating and recording exposure pulse sequences, and generating exposure patterns suitable for multiple channel monitoring of the development. The total exposure energy, energy per pulse, and pulse rate are selectable over a wide range. The system contains an in-situ Fourier Transform Infrared Spectrometer for qualitative and quantitative analysis of the photoresist baking and exposure processes (baking is not done in-situ). FIIR may be performed in transmission or reflection. The FTIR data will form the basis of comprehensive multi-state resist models. The system's versatility facilitates the development of new automated and repeatable experiments. Simple controlling software, utilizing the provided interface sub-routines, can be written to control new experiments and collect data.© (1989) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
- Published
- 1989
- Full Text
- View/download PDF
39. Characterization Of A UV Resist for 248 nm Lithography
- Author
-
William N. Partlo, William G. Oldham, and Yosef Y. Shacham-Diamand
- Subjects
Materials science ,Pulse (signal processing) ,business.industry ,Analytical chemistry ,Radiation ,Photoresist ,law.invention ,Resist ,law ,Transmittance ,Optoelectronics ,Photolithography ,Absorption (electromagnetic radiation) ,business ,Lithography - Abstract
A study is presented of the performance of a Novolak-resin naphtoquinone-diazide sensitized resist exposed in the deep-UV. The material under study is PR-1024MB-600, designed for exposure in the UV (280-340 nm) range, including the i-line and h-line as well as deep UV (248 nm). The A, B, C exposure parameters of the photoresist have been measured at 248 nm. Classical bleaching characteristics are observed at low energy; the photoresist transmittance increases with dose. At higher doses the photoresist transmittance decreases, suggesting UV radiation effects on the resin. A model for the behavior and the associated parameter-extraction method for the UV transmittance versus dose in the presence of a variable resin absorbence are proposed. The development characteristics of the photoresist exposed at 248 nm are meas-ured and the results for exposure with less than 5 mJ/cm2/pulse and total dose less than 1 f/cm2 show normal positive pho-toresist characteristics. Higher total dose, with low energy per pulse yields a negative tone mode. This tone reversal behavior suggests UV-induced cross-linking. Exposure using high pulse energies (> 25 mlicm2 per pulse) also yields a negative tone response. Thermal calculations suggest a heating effect. The image reversal performance of the PR-1024MB resist with addition of low-volatility amines is also demonstrated. Under similar conditions the image reversal process is 10-20 times more sensitive than in positive tone operation. In image reversal the deep-UV photoresist contrast exceeds 2, larger than the value observed in the normal mode.
- Published
- 1989
- Full Text
- View/download PDF
40. Deep-UV Photolithography With a Small-Field 0.6 N.A. 'Microstepper'
- Author
-
Chris A. Spence, D. A. Markle, William G. Oldham, William N. Partlo, Richard Hsu, and John H. Bruning
- Subjects
Depth of focus ,Materials science ,business.industry ,Condenser (optics) ,Noise (electronics) ,law.invention ,Lens (optics) ,Optics ,Resist ,law ,Optoelectronics ,Photomask ,Photolithography ,business ,Lithography - Abstract
A 'Microstepper' is being constructed at U.C. Berkeley as a flexible lithography tool to study the possibilities and problems of photolithography using refractive optics with a KrF Excimer laser light source. This paper will present data on the performance of the optical system. 0.3μm line/space elbows were clearly resolved in thin MP2400 resist. Single-pulse, speckle-free images were achieved by modifying the condenser design and using a high-sensitivity chemical-amplification resist. Optical noise was found to be a problem. We were able to substantially reduce this noise by using a moving diffuser. Alternatively, incorporating a light pipe into the condenser design was also found to reduce noise in the image. Data are also presented on the use of air probes as height sensors for this demanding application (the Rayleigh depth of focus for a 0.6 N.A. lens at 248nm is 0.7μm). Data showing the sensitivity, stability and reproducibility of some different probe designs is shown. Finally, we present the design of the microstepper which is currently in the final stages of assembly.
- Published
- 1989
- Full Text
- View/download PDF
41. Effects of Line Narrowing and Collimation on Excimer Radiation at 248nm
- Author
-
William G. Oldham, William N. Partlo, and Chris A. Spence
- Subjects
Materials science ,Excimer laser ,business.industry ,medicine.medical_treatment ,Laser ,Excimer ,Collimated light ,law.invention ,Coherence length ,Full width at half maximum ,Optics ,law ,Spectral width ,medicine ,Optoelectronics ,Photolithography ,business - Abstract
The relevant characteristics of a 248 nm line-narrowed excimer laser have been measured. The laser's spectral width is 1.6 pm FWHM and its coherence length is 38 mm. The effects of this line narrowing on the optical components in illuminator systems have been studied. The results from several light pipe systems and a diffuser plate system are presented. The effects of external cavity collimation on the GCA-TROPEL BOLD illuminator are also presented.
- Published
- 1989
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.