Search

Your search keyword '"digital clock manager"' showing total 34 results

Search Constraints

Start Over You searched for: Descriptor "digital clock manager" Remove constraint Descriptor: "digital clock manager" Journal ieee transactions on computer-aided design of integrated circuits and systems Remove constraint Journal: ieee transactions on computer-aided design of integrated circuits and systems
34 results on '"digital clock manager"'

Search Results

1. Design Methodology for Synthesizing Resonant Clock Networks in the Presence of Dynamic Voltage/Frequency Scaling

2. Power Efficient High-Level Synthesis by Centralized and Fine-Grained Clock Gating

3. Cost-Effective Robustness in Clock Networks Using Near-Tree Structures

4. A Fine-Grained Clock Buffer Polarity Assignment for High-Speed and Low-Power Digital Systems

5. Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs

6. Skew Management of NBTI Impacted Gated Clock Trees

7. An Optimal Allocation Algorithm of Adjustable Delay Buffers and Practical Extensions for Clock Skew Optimization in Multiple Power Mode Designs

8. A Fast and Near-Optimal Clustering Algorithm for Low-Power Clock Tree Synthesis

9. Fast Timing-Model Independent Buffered Clock-Tree Synthesis

10. Variation-Aware Clock Network Design Methodology for Ultralow Voltage (ULV) Circuits

11. Subtractive Router for Tree-Driven-Grid Clocks

12. Postgrid Clock Routing for High Performance Microprocessor Designs

13. Analysis and Design of Energy and Slew Aware Subthreshold Clock Systems

14. Scalable Analysis of Mesh-Based Clock Distribution Networks Using Application-Specific Reduced Order Modeling

15. Pulse Width Allocation and Clock Skew Scheduling: Optimizing Sequential Circuits Based on Pulsed Latches

16. Using Launch-on-Capture for Testing BIST Designs Containing Synchronous and Asynchronous Clock Domains

17. Robust Clock Tree Routing in the Presence of Process Variations

18. Synthesis of nonzero clock skew circuits

19. Clock-skew-optimization methodology for substrate-noise reduction with supply-current folding

20. Reducing clock skew variability via crosslinks

21. Delay insertion method in clock skew scheduling

22. Skew Measurements in Clock Distribution Circuits Using an Analytic Signal Method

23. Gated clock routing for low-power microprocessor design

24. Activity-driven clock design

25. Clock skew verification in the presence of IR-drop in the power distribution network

26. Timing analysis including clock skew

27. Clock skew reduction in ASIC logic design: a methodology for clock tree management

28. Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits

29. Adjustable Delay Buffer Allocation under Useful Clock Skew Scheduling

30. Optimal retiming of level-clocked circuits using symmetric clock schedules

31. Timing constraints for wave-pipelined systems

32. Clock period minimization with wave pipelining

33. Switched-capacitor simulation models for full-chips verification

34. On the calculation of optimal clocking parameters in synchronous circuits with level-sensitive latches

Catalog

Books, media, physical & digital resources