Back to Search Start Over

Low-Temperature (≤500 °C) Complementary Schottky Source/Drain FinFETs for 3D Sequential Integration

Authors :
Shujuan Mao
Jianfeng Gao
Xiaobin He
Weibing Liu
Jinbiao Liu
Guilei Wang
Na Zhou
Yanna Luo
Lei Cao
Ran Zhang
Haochen Liu
Xun Li
Yongliang Li
Zhenhua Wu
Junfeng Li
Jun Luo
Chao Zhao
Wenwu Wang
Huaxiang Yin
Source :
Nanomaterials, Vol 12, Iss 7, p 1218 (2022)
Publication Year :
2022
Publisher :
MDPI AG, 2022.

Abstract

In this work, low-temperature Schottky source/drain (S/D) MOSFETs are investigated as the top-tier devices for 3D sequential integration. Complementary Schottky S/D FinFETs are successfully fabricated with a maximum processing temperature of 500 °C. Through source/drain extension (SDE) engineering, competitive driving capability and switching properties are achieved in comparison to the conventional devices fabricated with a standard high-temperature (≥1000 °C) process flow. Schottky S/D PMOS exhibits an ON-state current (ION) of 76.07 μA/μm and ON-state to OFF-state current ratio (ION/IOFF) of 7 × 105, and those for NMOS are 48.57 μA/μm and 1 × 106. The CMOS inverter shows a voltage gain of 18V/V, a noise margin for high (NMH) of 0.17 V and for low (NML) of 0.43 V, with power consumption less than 0.9 μW at VDD of 0.8 V. Full functionality of CMOS ring oscillators (RO) are further demonstrated.

Details

Language :
English
ISSN :
12071218 and 20794991
Volume :
12
Issue :
7
Database :
Directory of Open Access Journals
Journal :
Nanomaterials
Publication Type :
Academic Journal
Accession number :
edsdoj.718ad3c0a2d44cbcb194c795c597a267
Document Type :
article
Full Text :
https://doi.org/10.3390/nano12071218