58 results on '"Ruqiang Bao"'
Search Results
2. NBTI Impact of Surface Orientation in Stacked Gate-All-Around Nanosheet Transistor.
- Author
-
Huimei Zhou, Miaomiao Wang 0006, Jingyun Zhang, Koji Watanabe, Curtis Durfee, Shogo Mochizuki, Ruqiang Bao, Richard G. Southwick, Maruf Bhuiyan, and Basker Veeraraghavan
- Published
- 2020
- Full Text
- View/download PDF
3. SiGe Gate-All-around Nanosheet Reliability.
- Author
-
Huimei Zhou, Miaomiao Wang 0006, Ruqiang Bao, Curtis Durfee, Liqiao Qin, and Jingyun Zhang
- Published
- 2022
- Full Text
- View/download PDF
4. Interface engineering of Si1-xGex gate stacks for high performance dual channel CMOS.
- Author
-
ChoongHyun Lee, Richard G. Southwick, Shogo Mochizuki, Paul Jamison, Ruqiang Bao, Rajan Pandey, Aniruddha Konar, Takashi Ando, Vijay Narayanan, Bala Haran, and Hemanth Jagannathan
- Published
- 2017
- Full Text
- View/download PDF
5. TDDB Reliability in Gate-All-Around Nanosheet
- Author
-
Jingyun Zhang, Ruqiang Bao, Ernest Y. Wu, Richard G. Southwick, Miaomiao Wang, Dechao Guo, Tian Shen, Huimei Zhou, and Veeraraghavan S. Basker
- Subjects
010302 applied physics ,Materials science ,Dielectric strength ,business.industry ,Transistor ,Time-dependent gate oxide breakdown ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,01 natural sciences ,law.invention ,Threshold voltage ,Computer Science::Emerging Technologies ,Reliability (semiconductor) ,law ,Logic gate ,0103 physical sciences ,Optoelectronics ,Field-effect transistor ,business ,Nanosheet - Abstract
Time dependent dielectric breakdown (TDDB) reliability is studied on interfacial layer (IL)/high-K gate stack of Gate-All-Around Nanosheet (GAA-NS) N- and P-type Field Effect Transistors (FETs) with volume-less multiple threshold voltage (multi-Vt) integration scheme enabled by the dual dipoles (n-dipole and p-dipole). We report for the first time Key TDDB Modeling parameters: voltage acceleration exponent (VAE), Weibull slope ( $\beta$ ), and activation energy (E a ) and show robust TDDB reliability in multi-Vt NS transistors enabled by different dipoles.
- Published
- 2021
6. Selective Enablement of Dual Dipoles for near Bandedge Multi-Vt Solution in High Performance FinFET and Nanosheet Technologies
- Author
-
Jing Guo, Balasubramanian S. Pranatharthi Haran, Miaomiao Wang, Paul C. Jamison, V. Basker, James Chingwei Li, Richard G. Southwick, Vijay Narayanan, Shanti Pancharatnam, Dechao Guo, Muthumanickam Sankarapandian, Nicolas Loubet, Ruqiang Bao, Huimei Zhou, Huiming Bu, Koji Watanabe, Mukesh Khare, Jingyun Zhang, and James J. Demarest
- Subjects
Materials science ,Silicon ,business.industry ,Transistor ,chemistry.chemical_element ,Compensation (engineering) ,Threshold voltage ,law.invention ,Reduction (complexity) ,Dipole ,chemistry ,law ,Logic gate ,Optoelectronics ,business ,Nanosheet - Abstract
We report that n-dipole and p-dipole (dual dipoles) can be co-integrated to provide a more flexible volumeless multiple threshold voltage(multi-Vt) solution in FinFET and Nanosheet (NS) technologies. The p-dipole process for dual dipoles co-integration is identified. When the Vt shift is less than 100m V, the mobility is slightly degraded, but other properties are not clearly affected. The improved pFET performance is from the Vt reduction. The dipole co-integration also provides a novel method for Vt definition via dipole Vt compensation. Our selective dipole enablement can implement near bandedge (BE) multi- Vt for high performance application.
- Published
- 2020
7. Full Bottom Dielectric Isolation to Enable Stacked Nanosheet Transistor for Low Power and High Performance Applications
- Author
-
Hosadurga Shobha, Tenko Yamashita, Chanro Park, Huiming Bu, R. Divakaruni, V. Basker, C. Adams, Dechao Guo, Jingyun Zhang, Lan Yu, Pietro Montanini, X.-H. Liu, A. Arceo De La Pena, Frougier Julien, Kai Zhao, Ruqiang Bao, Robert R. Robison, Nicolas Loubet, Balasubramanian S. Pranatharthi Haran, Muthumanickam Sankarapandian, Xin Miao, James Chingwei Li, Richard A. Conti, Tian Shen, Junli Wang, Praveen Joseph, Huimei Zhou, Koji Watanabe, Reinaldo A. Vega, Shanti Pancharatnam, Ruilong Xie, Curtis Durfee, A. Gaul, Daniel J. Dechene, Andrew M. Greene, Robin Chao, Dexin Kong, and Heng Wu
- Subjects
Dielectric isolation ,Materials science ,010308 nuclear & particles physics ,business.industry ,Effective capacitance ,Transistor ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Process variation ,law ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Nanosheet ,Leakage (electronics) - Abstract
In this paper, full bottom dielectric isolation (BDI) is first demonstrated on horizontally stacked Nanosheet device structures with Lmetal 12 nm. The comparison of full BDI scheme vs punch through stopper (PTS) scheme has been systematically studied. By comparing off-state leakage current, short channel behavior and effective capacitance (Ceff) for both schemes, we show that BDI could potentially provide: 1) good immunity of sub-channel leakage due to process variation (from parasitic "fat-Fin" which is unique in Nanosheet structure); 2) power-performance co-optimization.
- Published
- 2019
8. Multiple-Vt Solutions in Nanosheet Technology for High Performance and Low Power Applications
- Author
-
Miaomiao Wang, Huimei Zhou, Alex Hubbard, Paul C. Jamison, Balasubramanian S. Pranatharthi Haran, Huiming Bu, Ruqiang Bao, Jing Guo, V. Basker, A. Gaul, Mukesh Khare, Nicolas Loubet, Koji Watanabe, James Chingwei Li, Daniel J. Dechene, Dechao Guo, Reinaldo A. Vega, Muthumanickam Sankarapandian, Shanti Pancharatnam, and Jingyun Zhang
- Subjects
010302 applied physics ,Computer science ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Supercomputer ,01 natural sciences ,Threshold voltage ,Reduction (complexity) ,0103 physical sciences ,Electronic engineering ,Wafer ,0210 nano-technology ,Metal gate ,Critical dimension ,Scaling ,Nanosheet - Abstract
In Nanosheet (NS) device architecture, it is much more challenging than FinFET to develop a suitable multiple threshold voltage (multi-Vt) integration with more restrictive requirement on the dimensions due to the critical dimension scaling and complex structure. In this abstract, we reported an innovative integration scheme to enable volumeless multi-Vt and metal multi-Vt to provide the multi-Vt solutions in NS technology for high performance computing (HPC) and low-power applications. We developed a new volumeless multi-Vt for NS to solve the device geometry constraint and offer more margin and the opportunity for further sheet-to-sheet spacing (Tsus) reduction. Furthermore, metal gate boundary control (MGBC) was developed to enable variable NS widths on the same wafer to satisfy both HPC and low-power applications.
- Published
- 2019
9. Ultra-scaled Conformal Scavenging Electrode with Superior Tunability for Short-channel RMG FinFET Workfunction and all-ALD 3D-compatible ReRAM
- Author
-
K. Honda, K.-C. Lee, Hiroyuki Miyazoe, John Rozen, M. Hatanaka, T. Ando, Y. Ogawa, John Bruley, Vijay Narayanan, K. Suu, Ruqiang Bao, and Eduard A. Cartier
- Subjects
010302 applied physics ,Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Resistive random-access memory ,Stack (abstract data type) ,0103 physical sciences ,Electrode ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business ,Metal gate ,Layer (electronics) ,Scaling ,Voltage - Abstract
A baseline TiAl-containing ALD electrode is established, with properties in line with reported workfunction (WF) materials for scaled RMG nFETs, values below 4.6eV requiring a 25A layer. Furthermore, a novel ALD metal-compound material, MX, is shown to enable at least 10A further scaling of the electrode stack due to its superior scavenging power. It can be finely tuned by the film thickness, allowing for a remarkable 20-30meV WF delta per ALD cycle over a minimum 600meV range. The wet etchability of the electrodes makes multi-Vt and dual-WF integration possible. MX does not degrade transfer characteristics and reliability of RMG FinFETs, while the thinner nWF electrode enables reduced gate resistance, as verified down to 20nm metal gate lengths. For the first time, taking advantage of the MX compound scavenging power to control oxygen filaments, we demonstrate an all-ALD HfO 2 -based ReRAM. Forming voltages match those achieved by optimized PVD contacts, while scaling the active electrode thickness by a factor of 4x, down to 5nm. Conformality of the layers enables vertical-ReRAM architectures with reduced line resistance. We conclude the developed electrode can facilitate both logic scaling beyond the 10nm node, and 3D memory technology.
- Published
- 2019
10. Gate-Cut-Last in RMG to Enable Gate Extension Scaling and Parasitic Capacitance Reduction
- Author
-
Kerem Akarvardar, Balasubramanian S. Haran, Dinesh Gupta, Juntao Li, Takashi Ando, Economikos Laertis, James J. Demarest, Andreas Knorr, Kai Zhao, Victor Chan, Ruqiang Bao, Cave Nigel, Huimei Zhou, Richard A. Conti, Veeraraghavan S. Basker, Andrew M. Greene, Huiming Bu, Miaomiao Wang, Robert R. Robison, Kanakasabapathy Sivananda K, Indira Seshadri, Chanro Park, Dechao Guo, Muthumanickam Sankarapandian, Ruilong Xie, and Liying Jiang
- Subjects
Parasitic capacitance ,Computer science ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Metal gate ,Scaling ,Hardware_LOGICDESIGN ,Leakage (electronics) - Abstract
In this paper, we present for the first time a “Gate-Cut-Last” integration scheme completed within the Replacement Metal Gate (RMG) module. This novel gate cut (CT) technique allows the scaling of gate extension length past the end fin which reduces parasitic capacitance, leakage and performance variation. In addition, we demonstrate that CT-in-RMG is a promising alternative integration process that can enable scaling for future logic technology nodes. Device, circuit and reliability results are shown to compare this novel CT-in-RMG process to the conventional gate cut method.
- Published
- 2019
11. Toward High Performance SiGe Channel CMOS: Design of High Electron Mobility in SiGe nFinFETs Outperforming Si
- Author
-
Pouya Hashemi, Ruqiang Bao, Vijay Narayanan, Dechao Guo, Miaomiao Wang, Shogo Mochizuki, Hemanth Jagannathan, Injo Ok, Xin Miao, T. Ando, James Chingwei Li, Lee Choonghyun, Nicolas Loubet, and Richard G. Southwick
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,business.industry ,Electron trapping ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Electrostatics ,01 natural sciences ,Strain engineering ,CMOS ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,High electron ,business ,Simulation based ,Conduction band - Abstract
For the first time, high electron mobility in tensile-strained SiGe channel nFinFETs outperforming Si is reported to explore the feasibility of high performance SiGe CMOS. To examine the electron mobility behaviors in SiGe channel, a series of tensile-strained SiGe nFinFETs are fabricated on various strain relaxed buffer layers by taking into account the minimum threading dislocation density and strain engineering. For SiGe $(\text{Ge} > 20\%)$ nFinFETs, we identify the existence of additional electron trapping site close to the conduction band edge in IL/HK, leading to the abnormal Vt shift, PBTI degradation, and low electron mobility. We also fabricated short-channel SiGe nFinFETs, which exhibit excellent cut-off behavior and electrostatics (SS ∼65mV/dec and DIBL ∼18mV at $\mathrm{V}_{\text{DD}}=0.7\mathrm{V}$ ). In addition, the dynamic performance of tensile-strained SiGe CMOS against Si CMOS is evaluated by TCAD simulation based on experimental data.
- Published
- 2018
12. Extendable and Manufacturable Volume-less Multi-Vt Solution for 7nm Technology Node and Beyond
- Author
-
Dechao Guo, Vijay Narayanan, Rama Divakaruni, Ruqiang Bao, Miaomiao Wang, Huimei Zhou, and Bala S. Haran
- Subjects
010302 applied physics ,Computer science ,business.industry ,Electrical engineering ,Volume (computing) ,Gate length ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Threshold voltage ,Reliability (semiconductor) ,CMOS ,0103 physical sciences ,Node (circuits) ,0210 nano-technology ,Metal gate ,business - Abstract
We demonstrated more than 3 pairs of threshold voltage (Vt) devices by volume-less multiple Vt (multi-Vt) scheme plus dual work function metals (WFM) without performance and reliability degradation on 20nm gate length FinFET CMOS devices. Vt shifts over 200 mV were achieved for both nFET and pFET. The volume-less nature of this multi-Vt scheme relieves replacement metal gate (RMG) challenges and opens the path to offer multi-Vt solution for future highly scaled technologies.
- Published
- 2018
13. Novel Materials and Processes in Replacement Metal Gate for Advanced CMOS Technology
- Author
-
Michael S Chan, Marinus Hopstaken, Kisup Chung, Zhebo Chen, Miaomiao Wang, Steven Hung, Ruqiang Bao, Barman Soumendra Narayan, Yang Yixiong, Xin Miao, Sanjay Natarajan, Richard A. Conti, Hemanth Jagannathan, Lin Yongjing, Tang Wei, Li Luping, Bala S. Haran, Siddarth A. Krishnan, Michael Chudzik, and Dalea McHerron
- Subjects
010302 applied physics ,Materials science ,Gate resistance ,Process (computing) ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,Dipole ,CMOS ,0103 physical sciences ,Thermal ,Hardware_INTEGRATEDCIRCUITS ,Work function ,0210 nano-technology ,Metal gate - Abstract
This paper addresses novel approaches at material and integration fronts for gate applications. Material wise, new n work function metal (WFM) material is explored to address the need for reducing gate resistance and maintaining proper Vt at 20A or less WFM thickness. Integration wise, next generation dipole is tested with various process sequences to address the need in lowering overall thermal budget at the gate level for advanced architectures, such as scaled FinFET and Nanosheets.
- Published
- 2018
14. Plasma treatment effect on gate stack electrical properties
- Author
-
Ruqiang Bao, Chanro Park, Tao Li, and Koji Watanabe
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,Diffusion barrier ,02 engineering and technology ,Dielectric ,Plasma ,021001 nanoscience & nanotechnology ,01 natural sciences ,Threshold voltage ,X-ray photoelectron spectroscopy ,0103 physical sciences ,Work function ,Composite material ,0210 nano-technology ,Plasma processing - Abstract
The impact of oxygen containing plasma treatment on the electrical properties of gate stack is evaluated by measuring the interfacial layer thickness as a function of plasma treatment condition and by characterizing electrical parameters, such as threshold voltage (V t ), mobility, and interfacial trap density. X-ray photoelectron spectroscopy (XPS) measurements show that exposure to an oxygen containing plasma can result in interfacial layer growth when not protected by work function metal. This direct exposure may also result in the incorporation of positive charges into the dielectric layer. Incorporating positive charges into the dielectric layer results in a positive shift of V t. This is further verified by the fact that the hole mobility is degraded after plasma processing. This impact to hole mobility is negated when the work function metal is in place to act as a diffusion barrier between the oxygen containing plasma and the dielectric layers.
- Published
- 2018
15. Leakage aware Si/SiGe CMOS FinFET for low power applications
- Author
-
Shogo Mochizuki, James J. Kelly, Gen Tsutsui, Juntao Li, Huimei Zhou, Huiming Bu, Walter Kleemeier, Curtis Durfee, T. Levin, Andreas Knorr, Chun Ju Yang, Ruqiang Bao, Miaomiao Wang, Aniruddha Konar, Stephen W. Bedell, Dinesh Gupta, Koji Watanabe, Heng Wu, Dechao Guo, Devendra K. Sadana, and Daniel Schmidt
- Subjects
010302 applied physics ,Materials science ,Silicon ,Passivation ,business.industry ,chemistry.chemical_element ,Low leakage ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Subthreshold slope ,Silicon-germanium ,chemistry.chemical_compound ,CMOS ,chemistry ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Leakage (electronics) - Abstract
Leakage in Si/SiGe CMOS FinFET is examined. Si cap passivation effectively improves SiGe pFET Dit, subthreshold slope, and mobility, which improves pFET DC performance by 20%. SiGe GIDL is higher than Si by a factor of 9, though GIDL is limited to 50pA/um. SiGe GIDL reduction knobs to meet Si counterpart are demonstrated. The results open the door to the next stage of Si/SiGe CMOS FinFET such as low power and low leakage applications.
- Published
- 2018
16. Differentiated Performance and Reliability Enabled by Multi-Work Function Solution in RMG Silicon and SiGe MOSFETs
- Author
-
Richard G. Southwick, Hemanth Jagannathan, Huimei Zhou, Barry Linder, Ruqiang Bao, T. Ando, Dechao Guo, Vijay Narayanan, and Lee Choonghyun
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Optoelectronics ,Breakdown voltage ,Work function ,0210 nano-technology ,business ,Metal gate ,AND gate ,Leakage (electronics) - Abstract
We report for the first time that replacement metal gate (RMG) work function metal (WFM) modulates the interface defects in Silicon and SiGe MOSFETs. Changing the effective work function (eWF) towards nFET band edge provides lower interface defects and higher mobility than eWF near the pFET band edge for both Si and SiGe substrates. Reducing the electric field across the dielectric (via eWF) improves bias temperature instability (BTI) for both n & pMOSFETs beyond expectation. Breakdown voltage increases and gate leakage decreases with increasing eWF for both n & pMOSFETs. Therefore, multi-Vt MOSFETs by RMG metal gate exhibit differentiated reliability as well as differentiated performance for both Si and SiGe channel materials.
- Published
- 2018
17. High-k metal gate fundamental learning and multi-Vt options for stacked nanosheet gate-all-around transistor
- Author
-
Junli Wang, Hemanth Jagannathan, Takashi Ando, Tenko Yamashita, Myung-Gil Kang, Robin Chao, Jingyun Zhang, Xin Miao, Miaomiao Wang, Chen Zhang, Juntao Li, Bum Ki Moon, Ruqiang Bao, Reinaldo A. Vega, Nicolas Loubet, Zuoguang Liu, Veeraraghavan S. Basker, Sivananda K. Kanakasabapathy, Chun Wing Yeung, Rohit Galatage, and Oh-seong Kwon
- Subjects
010302 applied physics ,Materials science ,business.industry ,Transistor ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Gallium arsenide ,law.invention ,chemistry.chemical_compound ,CMOS ,chemistry ,law ,Modulation ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,0210 nano-technology ,business ,Sensitivity (electronics) ,Nanosheet ,High-κ dielectric - Abstract
In this paper, we report multi-threshold-voltage (multi-Vt) options for stacked Nanosheet gate-all-around (GAA) transistors. V t can be modulated through workfunction metal (WFM) thickness as well as the inter-nanosheet spacing (T sus ), the combination of which may be leveraged to increase the number of undoped V t offerings within a CMOS device menu relative to a FinFET CMOS device menu, which fundamentally does not have T sus as a V t tuning option. Hence we propose our multi-V t scheme by taking advantage of the unique structure of stacked GAA transistor.
- Published
- 2017
18. A comparative study of strain and Ge content in Si1−xGex channel using planar FETs, FinFETs, and strained relaxed buffer layer FinFETs
- Author
-
Vijay Narayanan, Dechao Guo, T. Ando, Balasubramanian S. Pranatharthi Haran, Hemanth Jagannathan, Ruqiang Bao, Lee Choonghyun, Richard G. Southwick, James Chingwei Li, Shariq Siddiqui, C. Labelle, J. H. Stathis, Rohit Galatage, Andreas Knorr, Shogo Mochizuki, and Xin Miao
- Subjects
010302 applied physics ,Materials science ,Silicon ,Strain (chemistry) ,business.industry ,Transistor ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Planar ,chemistry ,law ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Layer (electronics) ,Communication channel - Abstract
Strained Si 1−x Ge x channel pFinFETs and planar pFETs are fabricated on a strain relaxed buffer virtual substrate to comparatively study the electrical impact of strain and Ge content in the Si 1−x Ge x channel. By comparing the transistor electrical properties of Si 1−x Ge x pFETs on SRB with Si 1−x Ge x pFETs on Si substrate, we successfully decouple the influence of strain and Ge content in the Si 1−x Ge x channel on device performance such as gate stack quality, reliability, and carrier transport. Based on these understandings, dual channel Si/Si 1−x Ge x FinFETs on the SRB with the optimized surface orientation is proposed to further improve the device performance.
- Published
- 2017
19. Interface engineering of Si1−xGex gate stacks for high performance dual channel CMOS
- Author
-
Shogo Mochizuki, Hemanth Jagannathan, Richard G. Southwick, Rajan K. Pandey, Lee Choonghyun, Ruqiang Bao, Balasubramanian S. Haran, Takashi Ando, Aniruddha Konar, Vijay Narayanan, and Paul C. Jamison
- Subjects
Electron mobility ,Materials science ,Silicon ,Carrier scattering ,Scattering ,business.industry ,Phonon ,X band ,chemistry.chemical_element ,Silicon-germanium ,Threshold voltage ,chemistry.chemical_compound ,chemistry ,Optoelectronics ,business - Abstract
In this paper, we discuss a technique for selective GeO x -scavenging which creates a GeO x -free interfacial layer (IL) on Si 1−x Ge x substrates. This process reduces interface trap density (N it ) and increases high-field hole mobility in Si 1−x Ge x pFETs. In addition, we identify the existence of electronic defect levels close to the Si 1−x Ge x band edges associated with the Ge surface concentration at the Si 1−x Ge x /IL interface. These electronic defects act as carrier scattering centers severely degrading the channel mobility and modulate the device threshold voltage. By successfully eliminating the GeO x component in the IL and electronic defects states at the Si 1−x Ge x /IL interface, high channel carrier mobility over a wide range of inversion carrier density in compressively-strained Si 1−x Ge x channel pFETs is demonstrated.
- Published
- 2017
20. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET
- Author
-
Nelson Felix, Spyridon Skordas, Rohit Galatage, Junli Wang, Dinesh Gupta, Xin Miao, Deok-Hyung Lee, R. Divakaruni, John C. Arnold, Vamsi Paruchuri, Ohyun Kwon, Adra Carr, Seng Luan Lee, Soon-Cheon Seo, T. Gow, James Chingwei Li, Muthumanickam Sankarapandian, Y. Xu, Zuoguang Liu, D. Corliss, Stuart A. Sieg, Robert C. Wong, Chun Wing Yeung, Albert M. Young, Jingyun Zhang, Jeffrey C. Shearer, Huiming Bu, C. Labelle, Zhenxing Bi, Bassem Hamieh, M. Guillom, Andreas Knorr, Tenko Yamashita, Jae-Yoon Yoo, D. Brown, Peng Xu, Robin Chao, Dexin Kong, Terence B. Hook, P. Oldiges, T. Wu, Shogo Mochizuki, Young-Kwan Park, W. Xu, Raja Muthinti, S. Lian, Ruqiang Bao, S. Kanakasabapathy, Myung-Hee Na, Richard A. Conti, Frougier Julien, Robert R. Robison, Nicolas Loubet, Yann Mignot, Theodorus E. Standaert, Hemanth Jagannathan, Ho Ju Song, Pietro Montanini, Myounggon Kang, John G. Gaudiello, Mukesh Khare, Abraham Arceo, Su Chen Fan, and Andrew M. Greene
- Subjects
010302 applied physics ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Transistor ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Electrostatics ,01 natural sciences ,law.invention ,law ,Logic gate ,0103 physical sciences ,Stiction ,Optoelectronics ,Work function ,0210 nano-technology ,business ,Nanosheet ,Leakage (electronics) - Abstract
In this paper, for the first time we demonstrate that horizontally stacked gate-all-around (GAA) Nanosheet structure is a good candidate for the replacement of FinFET at the 5nm technology node and beyond. It offers increased W eff per active footprint and better performance compared to FinFET, and with a less complex patterning strategy, leveraging EUV lithography. Good electrostatics are reported at L g =12nm and aggressive 44/48nm CPP (Contacted Poly Pitch) ground rules. We demonstrate work function metal (WFM) replacement and multiple threshold voltages, compatible with aggressive sheet to sheet spacing for wide stacked sheets. Stiction of sheets in long-channel devices is eliminated. Dielectric isolation is shown on standard bulk substrate for sub-sheet leakage control. Wrap-around contact (WAC) is evaluated for extrinsic resistance reduction.
- Published
- 2017
21. Understanding the interfacial layer formation on strained Si1−xGex channels and their correlation to inversion layer hole mobility
- Author
-
Ruqiang Bao, Lee Choonghyun, Vamsi Paruchuri, Hemanth Jagannathan, Richard G. Southwick, and Shogo Mochizuki
- Subjects
Electron mobility ,Atomic layer deposition ,Materials science ,Atomic configuration ,Condensed matter physics ,Silicon ,chemistry ,Electronic engineering ,chemistry.chemical_element ,Communication channel - Abstract
We investigate the mechanism of interfacial layer formation on Si 1−x Ge x (0 1−x Ge x (x 1−x Ge x channel is presented to understand the surface atomic configuration of Si 1−x Ge x channel as well as mobility enhancement mechanism.
- Published
- 2017
22. Development of TiO2 containing hardmasks through PEALD deposition
- Author
-
Madhana Sunder, Shravan Matham, Anuja De Silva, Yiping Yao, Hao Truong, Abraham Arceo, Indira Seshadri, Yasir Sulehria, Ruqiang Bao, Heng Wu, Nelson Felix, Sivananda K. Kanakasabapathy, Kisup Chung, Brock Mendoza, and Luciana Meli
- Subjects
010302 applied physics ,Materials science ,Metal contamination ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,0103 physical sciences ,Electrical performance ,Process window ,Dry etching ,0210 nano-technology ,Lithography ,Scale down ,Layer (electronics) ,Deposition (law) - Abstract
With the increasing prevalence of complex device integration schemes, tri layer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination, and are limited in their ability to scale down thickness without comprising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of PEALD deposited TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a tri layer scheme patterned with PEALD based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited vs a spin-on metal hardmask.
- Published
- 2017
23. Hot carrier effect in ultra-scaled replacement metal gate Sii-xGex channel p-FinFETs
- Author
-
Miaomiao Wang, Xin Miao, James H. Stathis, Derrick Liu, Koji Watanabe, Barry P. Linder, Richard G. Southwick, and Ruqiang Bao
- Subjects
Materials science ,Silicon ,business.industry ,Electrical engineering ,chemistry.chemical_element ,Dielectric ,Silicon-germanium ,Stress (mechanics) ,chemistry.chemical_compound ,chemistry ,Logic gate ,Optoelectronics ,Degradation (geology) ,business ,Metal gate ,Hot-carrier injection - Abstract
Hot carrier reliability is studied in replacement metal gate (RMG) Si1-xGex (x = 20%) channel p-FinFETs with high-k gate dielectrics. In this study, we show that: (1) interface state generation and hole-trapping contribute to the HC damage under high-Vg (∼Vd) stress conditions; (2) hot electron injection is the dominant degradation mechanism for low- and mid-Vg biases, which are more representative stress conditions during typical CMOS logic circuit operation. We also found that excessive electron trapping in ultra-scaled SiGe pFinFETs can reduce the effective channel length and significantly increase the off-state leakage current (Ioff).
- Published
- 2016
24. Technology viable DC performance elements for Si/SiGe channel CMOS FinFTT
- Author
-
Thamarai S. Devarajan, Praneet Adusumilli, Derrick Liu, Hoon Kim, Vijay Narayanan, Christopher Prindle, Jeffrey C. Shearer, Terence B. Hook, Jie Yang, Miaomiao Wang, Mark Raymond, Andreas Knorr, Steven Bentley, Bruce Miao, Shogo Mochizuki, Oleg Gluschenkov, Kwan-Yong Lim, Philip J. Oldiges, Chengyu Niu, Bei Liu, Dinesh Gupta, Koji Watanabe, Gen Tsutsui, Mukesh Khare, Rama Divakaruni, Rohit Galatage, Huimei Zhou, Pietro Montanini, Gauri Karve, Jay W. Strane, Jody A. Fronheiser, Rajasekhar Venigalla, Chun Wing Yeung, Hiroaki Niimi, Dechao Guo, Fee Li Lie, Kisup Chung, Reinaldo A. Vega, James J. Kelly, Ruqiang Bao, Eric R. Miller, Huiming Bu, Zuoguang Liu, Robert R. Robison, Shariq Siddiqui, Sivananda K. Kanakasabapathy, Hemanth Jagannathan, and Andrew M. Greene
- Subjects
010302 applied physics ,0209 industrial biotechnology ,Materials science ,business.industry ,Contact resistance ,Doping ,Gate stack ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,Power (physics) ,Silicon-germanium ,chemistry.chemical_compound ,020901 industrial engineering & automation ,CMOS ,chemistry ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,business ,Performance enhancement ,Communication channel - Abstract
Low Ge content SiGe-based CMOS FinFET is one of the promising technologies [1-2] offering solutions for both high performance and low power applications. In this paper, we established a competitive SiGe-based CMOS FinFET baseline and examined various elements for high performance offering. The performance elements in gate stack, channel doping, contact resistance, and junction have been explored to provide a cumulative 20% / 25% (n/pFET) performance enhancement. These elements provide a viable path towards performance enhancement for future technology nodes.
- Published
- 2016
25. An Analytical Metal Resistance Model and Its Application for Sub-22-nm Metal-Gate CMOS
- Author
-
Weihao Weng, Stephan Grunow, Richard A. Wachnik, Xin Miao, Siddarth A. Krishnan, Ruqiang Bao, Xiuling Li, Keith Kwong Hon Wong, Vijay Narayanan, Werner A. Rausch, and Unoh Kwon
- Subjects
Materials science ,business.industry ,Scattering ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,RC time constant ,Electronic, Optical and Magnetic Materials ,Back end of line ,CMOS ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Grain boundary ,Electrical and Electronic Engineering ,Metal gate ,business ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
Gate resistance, middle of line resistance, and back end of line resistance in modern metal-gate CMOS increase drastically as the dimensions of the gates, interconnects and vias scale down close to or below the bulk electron mean free paths (MFPs) of the metal materials. These resistances, especially the gate resistance, impose more and more significant RC delay to CMOS circuits and become significant concerns in sub-22-nm CMOS. In order to optimize the metal-gate materials and structures for low resistance, accurate metal resistance model is needed. In this letter, we propose an analytical metal resistance model applicable for metal wires and films even with sub-MFP sizes. Our model includes scattering effects from surfaces, interfaces, and grain boundaries, and has been successfully verified on $W$ metal gates with the feature sizes ranging from 20 to 70 nm.
- Published
- 2015
26. Microstructures, phases, and properties of low melting BaO–B2O3–ZnO glass films prepared by pulsed laser deposition
- Author
-
Carl M. Busta, Douglas B. Chrisey, Minoru Tomozawa, Ruqiang Bao, and Xiaofeng Su
- Subjects
Materials science ,Diffusion ,Evaporation ,Analytical chemistry ,Sintering ,Dielectric ,Condensed Matter Physics ,Microstructure ,Electronic, Optical and Magnetic Materials ,Pulsed laser deposition ,law.invention ,law ,Materials Chemistry ,Ceramics and Composites ,Crystallization ,Composite material ,Glass transition - Abstract
With the motivation to employ BaO–B2O3–ZnO for high performance capacitors by using viscous flow/liquid phase sintering, the microstructures, phases, and dielectric properties of BaO–B2O3–ZnO films prepared by pulsed laser deposition were examined as a function of heat-treatment. The glass transition temperature (Tg) and crystallization temperature of the films are ~ 536 °C and 640 °C, respectively. The phases in the films are quite complicated after heat-treated above 700 °C until 900 °C where the film is dominated by a single phase. Zn concentration is reduced after heat-treatment at high temperature due to segregation and evaporation. The dielectric constant of the film decreases with the increasing heat-treatment temperature, probably due to Zn reduction, Si diffusion, and crystallization of the film. Experimental data shows that ~ 700 °C is the optimal temperature to use the low melting glass BaO–B2O3–ZnO to make high performance capacitors by viscous flow/liquid phase sintering.
- Published
- 2013
27. FINFET technology featuring high mobility SiGe channel for 10nm and beyond
- Author
-
Kerem Akarvardar, K-Y Lim, R. Mo, Bruce B. Doris, Richard G. Southwick, Muthumanickam Sankarapandian, F. Lie, Dechao Guo, Bhagawan Sahu, Huiming Bu, Stuart A. Sieg, Chun Wing Yeung, Junli Wang, Andreas Knorr, Tenko Yamashita, John R. Sporre, Matthew E. Colburn, Nelson Felix, Jody A. Fronheiser, D. K. Sadana, Neeraj Tripathi, Jay W. Strane, R. Divakaruni, P. Oldiges, Gauri Karve, Derrick Liu, T. Hook, Shogo Mochizuki, Nicolas Loubet, Sean D. Burns, Vijay Narayanan, Rajasekhar Venigalla, James Chingwei Li, Pouya Hashemi, Dinesh Gupta, Koji Watanabe, James J. Demarest, Victor Chan, Ruqiang Bao, S. Kanakasabapathy, Robert R. Robison, Mukesh Khare, Stephen W. Bedell, Pietro Montanini, Hemanth Jagannathan, Vamsi Paruchuri, Gen Tsutsui, Kangguo Cheng, James H. Stathis, James J. Kelly, Reinaldo A. Vega, Jacob Ajey Poovannummoottil, and Miaomiao Wang
- Subjects
010302 applied physics ,business.industry ,Computer science ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Reliability (semiconductor) ,CMOS ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Node (circuits) ,0210 nano-technology ,business ,Technology insertion ,Communication channel - Abstract
SiGe for channel material has been explored as a major technology element after the introduction of FINFET into CMOS technology [1–4]. Research on long channel FETs and discrete short channel FETs demonstrated benefits in mobility [1–4] and reliability [2]. Given the disruption that SiGe FIN brings, every aspect associated with SiGe FIN needs to be carefully studied towards technology insertion. In this paper, we report the latest SiGe-based FINFET CMOS technology development. CMOS FINFETs with Si-FIN nFET and SiGe-FIN pFET is demonstrated as a viable technology solution for both server and mobile applications at 10nm node and beyond.
- Published
- 2016
28. Selective GeOx-scavenging from interfacial layer on Si1−xGex channel for high mobility Si/Si1−xGex CMOS application
- Author
-
Rohit Galatage, B. Lherron, Aniruddha Konar, Hyungjun Kim, Richard G. Southwick, Shariq Siddiqui, Shogo Mochizuki, T. Ando, Lee Choonghyun, Ruqiang Bao, Rajan K. Pandey, Koji Watanabe, Jody A. Fronheiser, Hemanth Jagannathan, Vamsi Paruchuri, S. Guillaumet, and Paul C. Jamison
- Subjects
010302 applied physics ,Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,CMOS ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business ,Layer (electronics) ,Scavenging ,Communication channel - Abstract
We demonstrate a technique for selective GeO x -scavenging which creates a GeO x -free IL on Si 1−x Ge x substrates. This process reduces N it by >60% to 2e11 and increases high-field mobility at N inv =1e13 cm−2 by ∼1.3× in Si 0.6 Ge 0.4 pFETs with sub-nm EOT.
- Published
- 2016
29. Betavoltaic Performance of Radiation-Hardened High-Efficiency Si Space Solar Cells
- Author
-
P. J. Brand, Ruqiang Bao, and Douglas B. Chrisey
- Subjects
Materials science ,Atomic battery ,Energy conversion efficiency ,Charge carrier ,Electron ,Electrical and Electronic Engineering ,Atomic physics ,Radiation ,Current density ,Fluence ,Electronic, Optical and Magnetic Materials ,Power density - Abstract
Long-lived and high-energy-density betavoltaics have a great potential as power supplies for remote and hostile environmental conditions, where volume power density and/or power lifetime are very important considerations. In this paper, we provide new results to aid in the design and optimization of betavoltaics made with Si space solar cells and beta sources. The new results were obtained by using a customized low-energy electron accelerator to characterize the radiation-hardened high-efficiency Si space solar cells while varying the electron beam energy and electron beam current density, i.e., electron beam flux. The betavoltaic conversion efficiency of Si space solar cells increases until 60 keV and then decreases with the increasing electron beam energy. The maximum efficiency (6%) obtained at the electron beam energy of 60 keV suggests that Pm-147 would be a good beta source to make high-efficiency nuclear batteries. The radiation ionization energy is ~ 3.90 eV per electron-hole pair for Si space solar cells. Some radiation damage-induced performance degradation was also observed when the Si space solar cells were exposed to the bombardment of 62-keV electrons with fluence up to 4.92 × 1018betas/cm2, which is equivalent to the radiation from a semi-infinite Pm-147 layer for ~ 2.26 years. The results in this paper suggest that beta-particle entrance window, betavoltaic cells' configuration structure, and device properties such as charge carriers' diffusion length are very important factors to be engineered to improve the conversion efficiency for practical betavoltaics.
- Published
- 2012
30. Replacement metal gate resistance in FinFET architecture modelling, validation and extendibility
- Author
-
Siddarth A. Krishnan, Patrick W. DeHaven, Vijay Narayanan, John Bruley, Ruqiang Bao, Weike Wang, Unoh Kwon, Zhengwen Li, Chung-Hsun Lin, Brian J. Greene, Stephan Grunow, Rama Divakaruni, Keith Kwong Hon Wong, Kai Zhao, and Sungjae Lee
- Subjects
Materials science ,business.industry ,Electrical engineering ,chemistry.chemical_element ,Tungsten ,chemistry ,Gate oxide ,Electrical resistivity and conductivity ,Logic gate ,Electrode ,Optoelectronics ,business ,Tin ,Metal gate ,AND gate - Abstract
In this paper, we develop a multiplicative model to simulate the tungsten (W) film resistivity and gate resistance for replacement metal gate (RMG) with W electrode. Our multiplicative model predicts that TiN fill offers the lower gate resistance than TiN/W fill for highly scaled gate lengths. By absorbing the results from our model into the real RMG FinFET devices, we observe that TiN fill provides ∼6.4 % performance improvement compared to TiN/W fill. Meanwhile, the employment of gate conductance for gate stack film thickness monitoring is also described in our work.
- Published
- 2015
31. Kinetics of hydrogen in preparing amorphous B5C:H thin films
- Author
-
Daniele J. Cherniak, Douglas B. Chrisey, and Ruqiang Bao
- Subjects
Materials science ,Hydrogen ,Mechanical Engineering ,chemistry.chemical_element ,Chemical vapor deposition ,Condensed Matter Physics ,Dissociation (chemistry) ,Amorphous solid ,Carbon film ,chemistry ,Mechanics of Materials ,Plasma-enhanced chemical vapor deposition ,Nuclear reaction analysis ,Physical chemistry ,General Materials Science ,Thin film - Abstract
The kinetics of hydrogen in preparing amorphous boron carbide (a-B5C:H) thin films was studied. The hydrogen concentration of a-B5C:H thin films formed by plasma-enhanced chemical vapor deposition (PECVD) from a single-source precursor (o-B10C2H12) is ∼35–50 at.% as determined by nuclear reaction analysis. The hydrogen concentration of the a-B5C:H thin films is an exponential function of the precursor flux during the deposition. After annealing, the hydrogen concentration in the a-B5C:H thin films decreases with the increasing annealing temperature. The kinetics of hydrogen removal during annealing is controlled predominantly by its dissociation from PECVD radicals in the a-B5C:H thin films. The activation energy of about 0.14 eV is related to hydrogen dissociation from B–H bonds, but higher activation energy (∼0.44 eV) is required to strip the hydrogen atoms from C–H bonds in the thin films.
- Published
- 2011
32. Short range order structure of amorphous B4C boron carbide thin films
- Author
-
Ruqiang Bao and Douglas B. Chrisey
- Subjects
Materials science ,Mechanical Engineering ,Analytical chemistry ,chemistry.chemical_element ,Boron carbide ,Amorphous solid ,chemistry.chemical_compound ,Carbon film ,chemistry ,Mechanics of Materials ,General Materials Science ,Selected area diffraction ,Fourier transform infrared spectroscopy ,Thin film ,High-resolution transmission electron microscopy ,Boron - Abstract
B4C boron carbide thin films deposited by radio frequency magnetron sputtering in the temperature ranging from room temperature to 650 °C are amorphous. In this article, pair distribution function (PDF) and Fourier transform infrared (FTIR) spectroscopy were used to characterize the short range order (SRO) structure of amorphous B4C thin films. FTIR spectra indicated that icosahedrons exist in the amorphous B4C thin films. The existence of icosahedrons was further verified by the PDFs of amorphous B4C thin films, which were derived from digital selected area electron diffraction patterns. Furthermore, by comparing the PDFs of amorphous B4C thin films with those of three crystalline boron modifications and three structural models of boron carbides (B4C or B10C2), the SRO structure of amorphous B4C thin film was revealed to be similar to that of β-rhombohedral boron, but with the peak shifted to shorter distance.
- Published
- 2011
33. Generation of Ag2O Micro-/Nanostructures by Pulsed Excimer Laser Ablation of Ag in Aqueous Solutions of Polysorbate 80
- Author
-
Douglas B. Chrisey, Ruqiang Bao, and Zijie Yan
- Subjects
Silver ,Nanostructure ,Materials science ,Surface Properties ,Polysorbates ,Nanotechnology ,Excimer ,Polyvinyl alcohol ,Rod ,chemistry.chemical_compound ,Transition metal ,Electrochemistry ,General Materials Science ,Particle Size ,Spectroscopy ,Laser ablation ,Aqueous solution ,Molecular Structure ,Lasers ,Silver Compounds ,Water ,Oxides ,Surfaces and Interfaces ,Condensed Matter Physics ,Nanostructures ,Solutions ,chemistry - Abstract
A new route to synthesis of Ag(2)O micro-/nanostructures, including a mixture of cubes, pyramids, triangular plates, pentagonal rods, and bars, has been developed by pulsed excimer laser ablation of bulk silver in water using polysorbate 80 as surfactant. The polysorbate 80 played an important role in the formation of the Ag(2)O structures, and similar structures could be obtained in polysorbates 20 and 40 aqueous solutions. We have proposed a mechanism to explain the formation of Ag(2)O structures. This laser ablation method provides a unique approach to discover and fabricate new Ag(2)O morphologies.
- Published
- 2010
34. Chemical states of carbon in amorphous boron carbide thin films deposited by radio frequency magnetron sputtering
- Author
-
Ruqiang Bao and Douglas B. Chrisey
- Subjects
Materials science ,Metals and Alloys ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Boron carbide ,Sputter deposition ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,Carbide ,symbols.namesake ,chemistry.chemical_compound ,chemistry ,Physical vapor deposition ,Materials Chemistry ,symbols ,Thin film ,Raman spectroscopy ,Carbon - Abstract
Boron carbide thin films were deposited by radio frequency (RF) magnetron sputtering and characterized by X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and high resolution transmission electron microscopy. The results reveal that the structure of thin films deposited at substrate temperatures lower than 350 °C is amorphous. We found that there are four chemical states for carbon in amorphous boron carbide thin films deposited by RF magnetron sputtering. One is the segregated carbon in form of the graphitic inclusions in the thin film identified by Raman spectroscopy and Raman mapping using two strong peaks at ~ 1360 cm − 1 and ~ 1590 cm − 1 , but the XPS results show that the graphitic inclusions do not connect to the substrate directly. On the surface the carbon forms C=O bonds characterized by the peak of C1s core level at 285.0 eV besides B–C bonds in the boron carbide with the peak of C1s being at 282.8 eV. The detailed analysis of B–C bonds in the boron carbide shows that there are two states for carbon atoms in B–C bonds: in the C–B–C models with C1s peak at 282.3 eV and in the icosahedra with C1s peak at 283.3 eV.
- Published
- 2010
35. Hollow Particles Formed on Laser-Induced Bubbles by Excimer Laser Ablation of Al in Liquid
- Author
-
Yong Huang, Ruqiang Bao, Douglas B. Chrisey, and Zijie Yan
- Subjects
Fabrication ,Materials science ,business.industry ,Bubble ,medicine.medical_treatment ,digestive, oral, and skin physiology ,technology, industry, and agriculture ,Nanoparticle ,Laser ,Ablation ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Amorphous solid ,Nanoclusters ,General Energy ,Optics ,Chemical engineering ,law ,Ionization ,medicine ,Physical and Theoretical Chemistry ,business - Abstract
Herein we show how the unique temporal and thermal events occurring during the pulsed excimer laser ablation of an Al target in water result in hollow Al2O3 micro/nanoparticles with smooth surfaces and an amorphous structure. We demonstrate that the hollow particles are formed on laser-induced bubbles from laser ionized/evaporated liquid during the ablation. The fabrication of hollow particles can be improved by the addition of ethanol to the water, and the particles contain crystalline Al. Our work and the associated mechanism represent a new paradigm to fabricate hollow particles directly from bulk material; that is, the excimer laser ablation produces nanoclusters from the target and bubbles from the liquid, and the bubble interfaces trap the nanoclusters, resulting in the formation of hollow particles.
- Published
- 2010
36. Excimer Laser Production, Assembly, Sintering, and Fragmentation of Novel Fullerene-like Permalloy Particles in Liquid
- Author
-
Anthony N. Caruso, Douglas B. Chrisey, Cerasela Zoica Dinu, Syed B. Qadri, Yong Huang, Ruqiang Bao, and Zijie Yan
- Subjects
Permalloy ,Materials science ,Laser ablation ,Excimer laser ,medicine.medical_treatment ,technology, industry, and agriculture ,Sintering ,Nanoparticle ,Nanotechnology ,Laser ,Laser ablation synthesis in solution ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,General Energy ,law ,Nano ,medicine ,Physical and Theoretical Chemistry - Abstract
We report the fabrication of permalloy nanoparticles by pulsed excimer laser ablation of a permalloy (Fe19Ni81) target in sodium dodecyl sulfate aqueous solution and the subsequent laser-induced assembly, sintering, and fragmentation of the nanoparticles. Specifically, permalloy nanoparticles with diameters of 400−600 nm were observed to assemble into fullerene-like hollow microparticles. Laser irradiation caused sintering of the assembled particles, which showed Wulff construction and ledge growth behavior and finally resulted in smooth shells. Laser−particle interactions also caused fragmentation of the micro/nanoparticles. When the particle concentration is high, laser fragmentation rather than laser ablation dominates due to enhanced light absorption and scattering. The experiments reveal the dynamic process of the nano- and microparticle formation, demonstrating the rich processing environment of laser ablation in liquid.
- Published
- 2010
37. Deformation behavior and mechanisms of Ti-1023 alloy
- Author
-
Chun-xiao Cao, Ruqiang Bao, and Xu Huang
- Subjects
Materials science ,Strain (chemistry) ,Metallurgy ,Alloy ,Metals and Alloys ,Thermodynamics ,Superplasticity ,Strain rate ,Atmospheric temperature range ,engineering.material ,Geotechnical Engineering and Engineering Geology ,Condensed Matter Physics ,Deformation mechanism ,Materials Chemistry ,Dynamic recrystallization ,engineering ,Deformation (engineering) - Abstract
The deformation behavior and mechanisms of Ti-1023 alloy were studied in the temperature range of 650–900 °C and strain rate range of 0.001–10 s −1 by compression and tensile tests. The results show that in a limited strain rate range of 0.001–0.1 s −1 , the kinetic rate equation is obeyed and a linear fit is obtained at all the temperatures. The apparent activation energy is 322 kJ/mol in the α-β region and 160 kJ/mol in the β region, respectively. Power dissipation maps of this alloy developed by using Gleeble test data show three domains in the tested range. Superplasticity, marked by abnormal elongation at 700 °C, occurs in the temperature range of 650–750 °C and at strain rates below about 0.03 s −1 . Large grain superplasticity takes place in the temperature range of 750–850 °C and strain rates range of 0.001–0.03 s −1 . Dynamic recrystallization occurs in the temperature range of 850–900 °C and at strain rates below about 1 s −1 . The instability maps of this alloy were also developed.
- Published
- 2006
38. High performance 14nm SOI FinFET CMOS technology with 0.0174µm2 embedded DRAM and 15 levels of Cu metallization
- Author
-
E. Engbrecht, Edward P. Maciejewski, Christopher D. Sheraw, R. Divakaruni, Zhengwen Li, Allen H. Gabor, L. Economikos, Fernando Guarin, N. Zhan, H-K Lee, MaryJane Brodsky, Kenneth J. Stein, Siyuranga O. Koswatta, Y. Yang, Byeong Y. Kim, J. Hong, A. Bryant, Herbert L. Ho, Ruqiang Bao, Nicolas Breil, Babar A. Khan, E. Woodard, W-H. Lee, C-H. Lin, A. Levesque, Kevin McStay, V. Basker, Viraj Y. Sardesai, C. Tran, A. Ogino, Reinaldo A. Vega, C. DeWan, Shreesh Narasimha, J-J. An, Amit Kumar, A. Aiyar, Ravikumar Ramachandran, W. Wang, X. Wang, W. Nicoll, D. Hoyos, A. Friedman, Barry Linder, Yongan Xu, E. Alptekin, Cathryn Christiansen, S. Polvino, Han Wang, Scott R. Stiffler, G. Northrop, S. Saudari, J. Rice, Saraf Iqbal Rashid, Sunfei Fang, Michael V. Aquilino, Z. Ren, B. Kannan, Geng Wang, Noah Zamdmer, T. Kwon, Paul D. Agnello, Hasan M. Nayfeh, S. Jain, Robert R. Robison, M. Hasanuzzaman, J. Cai, L. Lanzerotti, D. Wehelle-Gamage, Basanth Jagannathan, J. Johnson, E. Kaste, Kai Zhao, Huiling Shang, Carl J. Radens, Shariq Siddiqui, Y. Ke, D. Ferrer, Ximeng Guan, D. Conklin, K. Boyd, K. Henson, Siddarth A. Krishnan, Bernard A. Engel, H. Dong, S. Mahajan, Unoh Kwon, Dominic J. Schepis, William Y. Chang, Liyang Song, Brian J. Greene, Chengwen Pei, S.-J. Jeng, Clevenger Leigh Anne H, Vijay Narayanan, C. Zhu, Wai-kin Li, Henry K. Utomo, Wei Liu, and Dureseti Chidambarrao
- Subjects
Engineering ,Subthreshold conduction ,business.industry ,Processor design ,Copper interconnect ,Soi finfet ,Hardware_PERFORMANCEANDRELIABILITY ,Thread (computing) ,Planar ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,business ,Dram - Abstract
We present a fully integrated 14nm CMOS technology featuring finFET architecture on an SOI substrate for a diverse set of SoC applications including HP server microprocessors and LP ASICs. This SOI finFET architecture is integrated with a 4th generation deep trench embedded DRAM to provide an ultra-dense (0.0174um2) memory solution for industry leading ‘scale-out’ processor design. A broad range of Vts is enabled on chip through a unique dual workfunction process applied to both NFETs and PFETs. This enables simultaneous optimization of both lowVt (HP) and HiVt (LP) devices without reliance on problematic approaches like heavy doping or Lgate modulation to create Vt differentiation. The SOI finFET's excellent subthreshold behavior allows gate length scaling to the sub 20nm regime and superior low Vdd operation. This leads to a substantial (>35%) performance gain for Vdd ∼0.8V compared to the HP 22nm planar predecessor technology. At the same time, the exceptional FE/BE reliability enables high Vdd (>1.1V) operation essential to the high single thread performance for processors intended for ‘scale-up’ enterprise systems. A hierarchical BEOL with 15 levels of copper interconnect delivers both high performance wire-ability as well as effective power supply and clock distribution for very large >600mm2 SoCs.
- Published
- 2014
39. Optimisation of hot die forging processes of Ti–10V–2Fe–3Al alloy
- Author
-
X. Huang, C. X. Cao, L. J. Huang, and Ruqiang Bao
- Subjects
Acicular ,Materials science ,business.product_category ,Mechanical Engineering ,Alloy ,Metallurgy ,engineering.material ,Condensed Matter Physics ,Microstructure ,Forging ,Mechanics of Materials ,Ultimate tensile strength ,engineering ,Die (manufacturing) ,General Materials Science ,Deformation (engineering) ,Ductility ,business - Abstract
In order to optimise the hot die processes of Ti–10V–2Fe–3Al alloy, the effects of forging processes on the microstructures and mechanical properties were studied and processing maps were used to try to aid the process design. The results show that processing maps for Ti–10V–2Fe–3Al alloy exhibit three process ranges, but only the range near β transus is suitable for hot die forging of this alloy. The alloy forged at T β −30°C has a higher strength and ductility while the alloy forged at T β +30°C offers higher fracture resistance than the former. Process C, where the alloy was forged at T β +30°C to form the acicular α p and then at T β −30°C to get globular α p, was designed and the total amount of deformation equal to 50% was chosen. Through process C the alloy has a better combination of tensile properties and fracture resistance than any other processes because a proper mixture between globular α p and acicular α p is obtained.
- Published
- 2005
40. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition
- Author
-
Nelson Felix, Luciana Meli, Shravan Matham, Heng Wu, Yiping Yao, Ruqiang Bao, Abraham Arceo, Sivananda K. Kanakasabapathy, Hoa Truong, Indira Seshadri, Kisup Chung, Brock Mendoza, Anuja De Silva, Madhana Sunder, and Yasir Sulehria
- Subjects
Materials science ,Mechanical Engineering ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,0104 chemical sciences ,Electronic, Optical and Magnetic Materials ,law.invention ,Atomic layer deposition ,Etching (microfabrication) ,law ,Process window ,Dry etching ,Electrical and Electronic Engineering ,Thin film ,Photolithography ,0210 nano-technology ,Layer (electronics) ,Lithography - Abstract
With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.
- Published
- 2017
41. Self-assembly of zinc hydroxide/dodecyl sulfate nanolayers into complex three-dimensional nanostructures by laser ablation in liquid
- Author
-
Ruqiang Bao, Douglas B. Chrisey, and Zijie Yan
- Subjects
Laser ablation ,Dodecyl sulfate ,Materials science ,Ethanol ,Nanostructure ,Sodium ,Inorganic chemistry ,Nucleation ,General Physics and Astronomy ,chemistry.chemical_element ,chemistry.chemical_compound ,chemistry ,Zinc hydroxide ,Self-assembly ,Physical and Theoretical Chemistry - Abstract
Three-dimensional zinc hydroxide/dodecyl sulfate (Zn(OH)2/DS) nanostructures self-assembled by nanolayers have been fabricated by pulsed laser ablation of bulk Zn in ethanol–water solution of sodium DS. The presence of ethanol causes defects in the hydroxyl group sites of Zn(OH)2 that partially form ZnO structures, which facilitate heterogeneous nucleation of secondary nanolayers, resulting in three-dimensional nanostructures. These results demonstrate that laser ablation in liquid can be used to fabricate complex nanostructures by controlling the nucleation and growth behavior of laser-produced species.
- Published
- 2010
42. Characterization of a-B5C:H prepared by PECVD of orthocarborane: Results of preliminary FTIR and nuclear reaction analysis studies
- Author
-
Ruqiang Bao, Artur Lutfurakhmanov, David Bunzow, Douglas B. Chrisey, Douglas L. Schulz, Syed B. Qadri, Anthony N. Caruso, Joseph Sandstrom, and Bret Mayo
- Subjects
Materials science ,Hydrogen ,Analytical chemistry ,Dangling bond ,chemistry.chemical_element ,Infrared spectroscopy ,Chemical vapor deposition ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,chemistry ,Plasma-enhanced chemical vapor deposition ,Nuclear reaction analysis ,Materials Chemistry ,Ceramics and Composites ,Thin film - Abstract
The electronic properties of a-Si:H vary with hydrogen passivation of dangling bond defects. It appears this effect is also operative in semiconducting amorphous hydrogenated boron carbide (a-B5C:H). Therefore, the ability to quantify the amount of hydrogen will be key to development of the materials science of a-B 5 C:H. The results of an initial investigation probing the ability to quickly correlate hydrogen concentration in a-B 5 C:H films with infrared spectroscopy are reported. a-B 5 C:H thin films were growth on Si (111) substrates by plasma-enhanced chemical vapor deposition (PECVD) using sublimed orthocarborane and argon as the precursor gas. Nuclear reaction analysis (NRA) was performed to quantify the atomic concentration of H in the a-B 5 C:H films. While the observed vibronic structure does not show stretches due to terminal C-H or bridging B-H-B, analysis of the terminal B-H stretch at ∼2570 cm -1 gives a proportionality constant of A = 2 x 10 22 cm -2 . We conclude that the methods previously developed for correlating H concentration to infrared data in a-Si:H are similarly viable for a-B 5 C:H films.
- Published
- 2008
43. Generation of Ag-Ag(2)O complex nanostructures by excimer laser ablation of Ag in water
- Author
-
Douglas B. Chrisey, Ruqiang Bao, and Zijie Yan
- Subjects
Materials science ,Fabrication ,Nanostructure ,Drop (liquid) ,Excimer laser ablation ,General Physics and Astronomy ,Nanoparticle ,Nanotechnology ,Laser ,Pulsed laser ablation ,law.invention ,Colloid ,law ,Physical and Theoretical Chemistry - Abstract
Pulsed laser ablation in liquid (PLAL) has been well established as a facile method to produce nanoparticles from bulk materials, but it is still insufficient for fabricating anisotropic and complex nanostructures, especially without the use of surfactants. Here, we demonstrate that silver (Ag) nanosheets can be produced by pulsed excimer laser ablation of bulk Ag in water via laser re-processing of the laser-produced primary clusters. We also show that by combining PLAL and drop evaporation, rice-shaped Ag-Ag(2)O particles and their assemblies can be generated on Si substrates, because the interior flow of an evaporating colloidal drop could redistribute the laser-produced primary clusters, which results in the formation of complex nanostructures. These results show that PLAL is able to fabricate novel micro-/nanostructures while keeping its merit of "clean" fabrication.
- Published
- 2012
44. A novel low resistance gate fill for extreme gate length scaling at 20nm and beyond for gate-last high-k/metal gate CMOS technology
- Author
-
Lisa F. Edge, C. Ortolland, W. Lai, J. Muncy, R. Divakaruni, J.-B. Laloë, David L. Rath, R. Bingert, J. Cutler, Ruqiang Bao, Vijay Narayanan, M. Krishnan, X. Zhang, Vamsi Paruchuri, J. Y. Huang, Ravikumar Ramachandran, X. Chen, Michael A. Gribelyuk, Haihong Wang, Keith Kwong Hon Wong, Y. Zhang, D. S. Salvador, Unoh Kwon, Il-Ryong Kim, Michael P. Chudzik, L. Econimikos, Y. Liu, Siddarth A. Krishnan, and L. D. Thanh
- Subjects
Engineering ,business.industry ,Gate dielectric ,Electrical engineering ,Time-dependent gate oxide breakdown ,Hardware_PERFORMANCEANDRELIABILITY ,CMOS ,Gate oxide ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Node (circuits) ,business ,Metal gate ,Hardware_LOGICDESIGN ,High-κ dielectric - Abstract
Replacement metal gate (RMG) process requires gate fill with low resistance materials on top of work function tuning metals. Conventional titanium (Ti)-aluminum (Al) based RMG metal fill scheme for low resistance gate formation becomes challenging with further gate length scaling for 20nm node and beyond. In this work, we have demonstrated competitive low resistance gate formation at smaller than 25nm L gate using a novel cobalt (Co)-aluminum based metal fill scheme for extreme gate length scaling. Challenges in CMP for the implementation as well as assessment on resistance and device characteristics of this new low resistance fill scheme are also discussed.
- Published
- 2012
45. Excimer laser ablation of a Pt target in water: the observation of hollow particles
- Author
-
Douglas B. Chrisey, Ruqiang Bao, and Zijie Yan
- Subjects
Laser ablation ,Nanostructure ,Materials science ,Mechanical Engineering ,medicine.medical_treatment ,Nucleation ,Analytical chemistry ,Nanoparticle ,Bioengineering ,General Chemistry ,Ablation ,Laser ,Laser ablation synthesis in solution ,law.invention ,Nanocrystal ,Chemical engineering ,Mechanics of Materials ,law ,medicine ,General Materials Science ,Electrical and Electronic Engineering - Abstract
Micro/nanoparticles were fabricated by pulsed-excimer-laser ablation of a Pt target in water. Three kinds of hollow Pt particles (coalesced by micrograins, assembled by nanocrystals or with smooth shells) were observed together with solid particles using different laser fluences (2.3-6.8 J cm(-2)) and after 6000 laser shots. We propose that the hollow particles were formed on laser-produced bubbles which provided thermodynamically preferred nucleation sites and diffusion sinks for the laser-fabricated Pt clusters or particles. Although the hollow particles are a small proportion, the results have extended the scope of particles that pulsed-laser ablation in liquid can fabricate, and have enriched the mechanistic scenario of laser ablation and nanostructure formation in liquid.
- Published
- 2010
46. Transitions of Boron Carbide to B-C-N Thin Film
- Author
-
Ruqiang Bao, Douglas B. Chrisey, and Zijie Yan
- Subjects
chemistry.chemical_compound ,Materials science ,chemistry ,Physical vapor deposition ,Analytical chemistry ,chemistry.chemical_element ,Boron carbide ,Sputter deposition ,Nitride ,Thin film ,Boron ,Carbon nitride ,Carbide - Abstract
Boron carbon nitride (B-C-N) thin films are attractive due to their potential as hard coatings and as semiconductors with varying band gap. Both B-C-N (BC0.24N0.24) thin films and boron carbide (B4C) thin films were deposited by radio-frequency magnetron sputtering at room temperature. Also, the transition of boron carbide to B-C-N was studied by bombarding the boron carbide thin film by ∼1 uA/cm2 4 keV N+ ions. The results show that the UV-Vis transmittance of B-C-N thin films is better than that of amorphous boron carbide and both B-C and B-N bonds exist in our B-C-N thin films. The nitrogen in our B-C-N thin films bonded with boron causes the XPS B 1s core level to shift 2 eV from that in the B4C boron carbide thin film. Ion bombardment shows that the N+ ion primarily reacts with boron to form B-N and this reaction causes the environmental change of carbon in the thin film and then the XPS C 1s core level to shift to 283.5 eV from 282.8 eV.
- Published
- 2009
47. Fabrication of Permalloy Particles by Pulsed Laser Ablation in Water and Tween 80 Aqueous Solution
- Author
-
Ruqiang Bao, Douglas B. Chrisey, Zijie Yan, and Cerasela Zoica Dinu
- Subjects
Permalloy ,Aqueous solution ,Fabrication ,Materials science ,Distilled water ,law ,Condensation ,Analytical chemistry ,Particle ,Laser ,Laser ablation synthesis in solution ,law.invention - Abstract
Permalloy particles were fabricated by pulsed excimer laser ablation in distilled water and Tween 80 aqueous solution with the same laser parameters. Nearly spherical particles and irregular and porous fragments were obtained in water due to rapid condensation and growth of the laser ablated clusters. The products obtained in Tween 80 aqueous solution contained well-shaped spheres but some were laser sintered together via laser-particle interactions. Holes or pits were observed on the surface of some particles, which we consider were caused by laser induced bubbles in the liquid. Our results demonstrate the rich environment ablation in liquids can be for novel particle formation.
- Published
- 2009
48. Fabrication of Dispersed Permalloy Nanoparticles by Pulsed Laser Ablation in Aqua
- Author
-
Ruqiang Bao, Douglas B. Chrisey, Zijie Yan, and Yong Huang
- Subjects
Permalloy ,Materials science ,Fabrication ,Aqueous solution ,Analytical chemistry ,Nanoparticle ,Laser ,law.invention ,chemistry.chemical_compound ,chemistry ,Distilled water ,law ,Nanometre ,Sodium dodecyl sulfate - Abstract
Permalloy (Ni81Fe19) nanoparticles with diameters of hundreds of nanometers have been successfully fabricated by pulsed laser ablation (PLA) in air, distilled water, pure ethanol and sodium dodecyl sulfate (SDS) aqueous solutions. The permalloy nanoparticles made in SDS solutions are typically spherical in shape. Lower laser energy with lower frequency leads to the formation of smaller permalloy nanoparticles. Higher concentration of SDS results in smaller nanoparticles. Lastly, we found some unusual permalloy nanoparticles with interesting morphologies made by PLA in air, distilled water and ethanol.
- Published
- 2008
49. Fabrication and formation mechanism of hollow MgO particles by pulsed excimer laser ablation of Mg in liquid
- Author
-
Carl M. Busta, Douglas B. Chrisey, Zijie Yan, and Ruqiang Bao
- Subjects
Aqueous solution ,Laser ablation ,Nanostructure ,Materials science ,Mechanical Engineering ,Analytical chemistry ,Nanoparticle ,Bioengineering ,General Chemistry ,Surface energy ,chemistry.chemical_compound ,chemistry ,Mechanics of Materials ,Sodium citrate ,General Materials Science ,Lamellar structure ,Electrical and Electronic Engineering ,Sodium dodecyl sulfate - Abstract
We report on the formation of hollow MgO particles by excimer laser ablation of bulk Mg in water and aqueous solutions of sodium dodecyl sulfate (SDS) and sodium citrate (SC). Lamellar nanostructures of Mg(OH)(2) also formed in water, but the formation could be avoided by the addition of SDS or SC. Laser ablation produced not only Mg species that were oxidized into MgO and Mg(OH)(2) in water, but also cavitation bubbles. The bubble interfaces trapped the MgO nanoparticles to decrease the surface free energy of the system, finally resulting in hollow particles.
- Published
- 2011
50. Charge carrier lifetime in boron carbide thin films
- Author
-
Ruqiang Bao, Zijie Yan, and Douglas B. Chrisey
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,Photoconductivity ,Heterojunction ,Carrier lifetime ,Boron carbide ,Effective nuclear charge ,chemistry.chemical_compound ,Semiconductor ,chemistry ,Optoelectronics ,Charge carrier ,Thin film ,business - Abstract
Charge carrier lifetime is a critical parameter to improve the conversion efficiency of radioisotope power sources and the sensitivity of neutron detectors based on boron carbide thin films. The effective charge carrier lifetime in B4C boron carbide films has been investigated by using transient photoconductivity decay. The carrier lifetime depends on the characterization conditions as well as the structure of the films. The measured lifetime could be up to ∼1 ms in B4C film, which is much longer than that in conventional semiconductors. The photoresistance change in B4C films and the photovoltaic response of B4C/n-Si(100) heterojunctions have also been studied.
- Published
- 2011
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.