Search

Your search keyword '"Ruqiang Bao"' showing total 58 results

Search Constraints

Start Over You searched for: Author "Ruqiang Bao" Remove constraint Author: "Ruqiang Bao"
58 results on '"Ruqiang Bao"'

Search Results

5. TDDB Reliability in Gate-All-Around Nanosheet

6. Selective Enablement of Dual Dipoles for near Bandedge Multi-Vt Solution in High Performance FinFET and Nanosheet Technologies

7. Full Bottom Dielectric Isolation to Enable Stacked Nanosheet Transistor for Low Power and High Performance Applications

8. Multiple-Vt Solutions in Nanosheet Technology for High Performance and Low Power Applications

9. Ultra-scaled Conformal Scavenging Electrode with Superior Tunability for Short-channel RMG FinFET Workfunction and all-ALD 3D-compatible ReRAM

10. Gate-Cut-Last in RMG to Enable Gate Extension Scaling and Parasitic Capacitance Reduction

11. Toward High Performance SiGe Channel CMOS: Design of High Electron Mobility in SiGe nFinFETs Outperforming Si

12. Extendable and Manufacturable Volume-less Multi-Vt Solution for 7nm Technology Node and Beyond

13. Novel Materials and Processes in Replacement Metal Gate for Advanced CMOS Technology

14. Plasma treatment effect on gate stack electrical properties

15. Leakage aware Si/SiGe CMOS FinFET for low power applications

16. Differentiated Performance and Reliability Enabled by Multi-Work Function Solution in RMG Silicon and SiGe MOSFETs

17. High-k metal gate fundamental learning and multi-Vt options for stacked nanosheet gate-all-around transistor

18. A comparative study of strain and Ge content in Si1−xGex channel using planar FETs, FinFETs, and strained relaxed buffer layer FinFETs

19. Interface engineering of Si1−xGex gate stacks for high performance dual channel CMOS

20. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET

21. Understanding the interfacial layer formation on strained Si1−xGex channels and their correlation to inversion layer hole mobility

22. Development of TiO2 containing hardmasks through PEALD deposition

23. Hot carrier effect in ultra-scaled replacement metal gate Sii-xGex channel p-FinFETs

24. Technology viable DC performance elements for Si/SiGe channel CMOS FinFTT

25. An Analytical Metal Resistance Model and Its Application for Sub-22-nm Metal-Gate CMOS

26. Microstructures, phases, and properties of low melting BaO–B2O3–ZnO glass films prepared by pulsed laser deposition

27. FINFET technology featuring high mobility SiGe channel for 10nm and beyond

28. Selective GeOx-scavenging from interfacial layer on Si1−xGex channel for high mobility Si/Si1−xGex CMOS application

29. Betavoltaic Performance of Radiation-Hardened High-Efficiency Si Space Solar Cells

30. Replacement metal gate resistance in FinFET architecture modelling, validation and extendibility

31. Kinetics of hydrogen in preparing amorphous B5C:H thin films

32. Short range order structure of amorphous B4C boron carbide thin films

33. Generation of Ag2O Micro-/Nanostructures by Pulsed Excimer Laser Ablation of Ag in Aqueous Solutions of Polysorbate 80

34. Chemical states of carbon in amorphous boron carbide thin films deposited by radio frequency magnetron sputtering

35. Hollow Particles Formed on Laser-Induced Bubbles by Excimer Laser Ablation of Al in Liquid

36. Excimer Laser Production, Assembly, Sintering, and Fragmentation of Novel Fullerene-like Permalloy Particles in Liquid

37. Deformation behavior and mechanisms of Ti-1023 alloy

38. High performance 14nm SOI FinFET CMOS technology with 0.0174µm2 embedded DRAM and 15 levels of Cu metallization

39. Optimisation of hot die forging processes of Ti–10V–2Fe–3Al alloy

40. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

41. Self-assembly of zinc hydroxide/dodecyl sulfate nanolayers into complex three-dimensional nanostructures by laser ablation in liquid

42. Characterization of a-B5C:H prepared by PECVD of orthocarborane: Results of preliminary FTIR and nuclear reaction analysis studies

43. Generation of Ag-Ag(2)O complex nanostructures by excimer laser ablation of Ag in water

44. A novel low resistance gate fill for extreme gate length scaling at 20nm and beyond for gate-last high-k/metal gate CMOS technology

45. Excimer laser ablation of a Pt target in water: the observation of hollow particles

46. Transitions of Boron Carbide to B-C-N Thin Film

47. Fabrication of Permalloy Particles by Pulsed Laser Ablation in Water and Tween 80 Aqueous Solution

48. Fabrication of Dispersed Permalloy Nanoparticles by Pulsed Laser Ablation in Aqua

49. Fabrication and formation mechanism of hollow MgO particles by pulsed excimer laser ablation of Mg in liquid

50. Charge carrier lifetime in boron carbide thin films

Catalog

Books, media, physical & digital resources