57 results on '"Roberto Fallica"'
Search Results
2. Mitigating stochastics in EUV lithography by directed self-assembly
- Author
-
Lander Verstraete, Hyo Seon Suh, Julie Van Bel, Purnota Hannan Timi, Remi Vallat, Philippe Bezard, Jelle Vandereyken, Matteo Beggiato, Amir-Hossein Tamaddon, Christophe Beral, Waikin Li, Mihir Gupta, and Roberto Fallica
- Published
- 2023
- Full Text
- View/download PDF
3. Mean free path of electrons in EUV photoresist in the energy range 20 to 450 eV
- Author
-
Roberto Fallica, Nicola Mahne, Thierry Conard, Anja Vanleenhove, and Stefano Nannarone
- Published
- 2023
- Full Text
- View/download PDF
4. Patterning assessment using 0.33NA EUV single mask for next generation DRAM manufacturing
- Author
-
Jeonghoon Lee, Sandip Halder, Van Tuong Pham, Roberto Fallica, Seonggil Heo, Kaushik Sah, Hyo Seon Suh, Victor Blanco, Werner Gillijns, Andrew Cross, Ethan Maguire, Ana-Maria Armeanu, Vladislav Liubich, Evgeny Malankin, Xima Zhang, Monica Kempsell Sears, Neal Lafferty, Germain Fenger, Chih-I Wei, and Ryoung Han Kim
- Published
- 2023
- Full Text
- View/download PDF
5. Single mask solution to pattern BLP and SNLP using 0.33NA EUV for next-generation DRAM manufacturing
- Author
-
Kaushik Sah, Andrew Cross, Sayantan Das, Roberto Fallica, Jeonghoon Lee, Ryan Ryoung Kim, Sandip Halder, Ethan Maguire, Ana-Maria Armeanu, Monica Sears, Neal Lafferty, Vlad Liubich, Chih-i Wei, and Germain Fenger
- Published
- 2022
- Full Text
- View/download PDF
6. Scaling and readiness of underlayers for high-NA EUV lithography
- Author
-
Roberto Fallica, Danilo De Simone, Steven Chen, Muhammad Safdar, and Hyo Seon Suh
- Published
- 2022
- Full Text
- View/download PDF
7. Scaling and readiness of underlayers for high-numerical aperture extreme ultraviolet lithography
- Author
-
Roberto Fallica, Danilo De Simone, Steven Chen, Muhammad Safdar, and Hyo Seon Suh
- Published
- 2022
- Full Text
- View/download PDF
8. Adhesion and collapse of extreme ultraviolet photoresists and the role of underlayers
- Author
-
Roberto Fallica, Steven Chen, Danilo De Simone, and Hyo Seon Suh
- Published
- 2022
- Full Text
- View/download PDF
9. Evolution of Secondary Electrons Emission During EUV Exposure in Photoresists
- Author
-
Andrea Berti, Andrea Marco Malvezzi, Danilo De Simone, Stefano Nannarone, Roberto Fallica, and Nicola Mahne
- Subjects
Materials science ,Polymers and Plastics ,Extreme ultraviolet lithography ,Organic Chemistry ,Materials Chemistry ,Atomic physics ,Secondary electrons - Published
- 2021
- Full Text
- View/download PDF
10. A lithographic and etching study on EUV contact hole patterning for stochastic process mitigation towards advanced device scaling
- Author
-
Danilo De Simone, Philippe Foubert, Roberto Fallica, Arnaud Dauendorffer, Kathleen Nafus, Noriaki Oikawa, Hironori Oka, and Keita Kato
- Published
- 2022
- Full Text
- View/download PDF
11. Adhesion and collapse of EUV photoresists and the role of underlayers
- Author
-
Roberto Fallica, Steven Chen, Danilo De Simone, and Hyo Seon Suh
- Published
- 2022
- Full Text
- View/download PDF
12. EUV based multi-patterning schemes for advanced DRAM nodes
- Author
-
Sayantan Das, Kaushik Sah, Roberto Fallica, Zhijin Chen, Sandip Halder, Andrew Cross, Danilo De Simone, Fergo Treska, Philippe Leray, Ryoung Han Kim, Ethan Maguire, Chih-I Wei, Germain Fenger, Neal Lafferty, and Jeonghoon Lee
- Published
- 2022
- Full Text
- View/download PDF
13. Nanolithographic Top‐Down Patterning of Polyoxovanadate‐Based Nanostructures with Switchable Electrical Resistivity
- Author
-
Roberto Fallica, Andreas Späth, Christian David, Montaha Anjass, Manuel Johnson, Benedikt Rösner, Carsten Streb, Rainer H. Fink, and Yasin Ekinci
- Subjects
Technology ,Nanostructure ,Materials science ,Renewable Energy, Sustainability and the Environment ,business.industry ,Energy Engineering and Power Technology ,Electrical switching ,Vanadium oxide ,Biomaterials ,Electrical resistivity and conductivity ,Materials Chemistry ,Optoelectronics ,Self-assembly ,business ,ddc:600 ,Electron-beam lithography - Abstract
The top-down fabrication of ���10 nm vanadium oxide nanostructures by electron beam lithography based on a molecular vanadium oxide resist material is reported. The new material enables the large-scale deposition of electrically switchable nanostructures which can be directly incorporated in established e-beam lithography. The findings could in future enable the top-down fabrication of functional metal oxide nanostructures in the < 10 nm domain. The top-down lithographic fabrication of functional metal oxide nanostructures enables technologically important applications such as catalysis and electronics. Here, we report the use of molecular vanadium oxides, polyoxovanadates, as molecular precursors for electron beam lithography to obtain functional vanadium oxide nanostructures. The new resist class described gives access to nanostructures with minimum dimensions close to 10 nm. The lithographically prepared structures exhibit temperature-dependent switching behaviour of their electrical resistivity. The work could lay the foundation for accessing functional vanadium oxide nanostructures in the sub-10-nm domain using industrially established nanolithographic methods.
- Published
- 2020
- Full Text
- View/download PDF
14. Sensitizer for EUV Chemically Amplified Resist: Metal versus Halogen
- Author
-
Gaetano Giordano, Jing Jiang, Danilo DeSimone, Roberto Fallica, and Geert Vandenberghe
- Subjects
Metal ,Materials science ,Polymers and Plastics ,Resist ,Extreme ultraviolet lithography ,visual_art ,Organic Chemistry ,Halogen ,Materials Chemistry ,visual_art.visual_art_medium ,Photochemistry - Published
- 2019
- Full Text
- View/download PDF
15. Extending EUV lithography for DRAM applications
- Author
-
Ziyang Wang, Mark John Maslow, Claire van Lare, Nadia Zuurbier, Andreas Frommhold, Rik Hoefnagels, Jo Finders, Eric Hendrickx, Zoi Dardani, Shih-Hsiang Liu, Scott L. Light, Ardavan Niroomand, Roberto Fallica, Willem van Mierlo, Dorothe Oorschot, and Gijsbert Rispens
- Subjects
Improved performance ,Materials science ,Resist ,Hexagonal crystal system ,business.industry ,Extreme ultraviolet lithography ,Process (computing) ,Optoelectronics ,Experimental validation ,business ,Dram - Abstract
In this paper we show experimental verification of the feasibility of printing pitch 40x70nm hexagonal holes using EUV single patterning. We show that at a local CDU (LCDU) of 2.7nm and an exposure dose of 54 mJ/cm2 a defect rate smaller than 7x10-9 is observed. This result was enabled by optimization of the illumination source and improvements in the resist. Resist selection identified multiple candidates that show a promising LCDU performance and optimization of the processing conditions resulted in improved performance. Experimental validation of the defect performance was done using HMI eP5 on the baseline process. Assessment of the LCDU performance for EUV single expose at pitches beyond 40x70nm, showed promising results.
- Published
- 2020
- Full Text
- View/download PDF
16. Effect of molecular weight on the EUV-printability of main chain scission type polymers
- Author
-
Stefan De Gendt, Ashish Rathore, Danilo De Simone, Ivan Pollentier, Roberto Fallica, and Harpreet Singh
- Subjects
Technology ,Materials science ,Extreme ultraviolet lithography ,Materials Science ,Materials Science, Multidisciplinary ,02 engineering and technology ,Photoresist ,01 natural sciences ,Physics, Applied ,Gel permeation chromatography ,Desorption ,0103 physical sciences ,Materials Chemistry ,Lithography ,010302 applied physics ,chemistry.chemical_classification ,Science & Technology ,Physics ,General Chemistry ,Polymer ,021001 nanoscience & nanotechnology ,Resist ,Chemical engineering ,chemistry ,Extreme ultraviolet ,Physical Sciences ,0210 nano-technology - Abstract
Unclear light-matter interactions in the Extreme UV lithography (EUVL) process cause the sub-optimal performance of the current photoresist platforms. Furthermore, adopting conventional chemically amplified resist (CAR) is problematic in EUVL because of the exacerbated stochastic effects. Therefore, alternative platforms with simpler chemistry, such as the main chain scission (MCS)-type photoresist, can pave the way for efficient printability at higher resolutions. In this work, fundamental analysis of a simple MCS-type photoresist – polymethyl methacrylate (PMMA), is studied and correlated with the parameters of EUV-patterning (called litho-parameters). Contrast curve analysis with different solvents shows MIBK–IPA (1 : 3) mixture as the best developer for EUV-patterning of PMMA. Higher Mw (950k) shows the best EUV-printability compared to the lower Mw (15k, 120k, and 600k) PMMA for 50 nm dense line–space (L/S). To understand the effect of polymer properties on printability, desorption and Gel Permeation chromatography (GPC) studies are conducted, which show that the higher Mw PMMA provides better litho-parameters (higher MCS – to – side-chain scission (SCS) ratio, higher GPC peak shift, and lower GPC peak overlap after EUV-exposure) compared to the lower Mw PMMA. Additionally, it is found that the PMMA chains require minimum energy of 9 eV to induce chemical reactions in the film. The main hypothesis obtained from this study is that for MCS-type EUV resists, higher Mw material provides better printability than the lower Mw material. This is further validated on an engineered MCS-copolymer system. Finally, it is observed that the inhomogeneous dose-deposition during EUV-exposures might hamper the printability of the MCS-type resist.
- Published
- 2020
- Full Text
- View/download PDF
17. Photoacid generator–polymer interaction on the quantum yield of chemically amplified resists for extreme ultraviolet lithography
- Author
-
Roberto Fallica and Yasin Ekinci
- Subjects
010302 applied physics ,chemistry.chemical_classification ,Materials science ,Extreme ultraviolet lithography ,Analytical chemistry ,Quantum yield ,02 engineering and technology ,General Chemistry ,Polymer ,Photoresist ,021001 nanoscience & nanotechnology ,01 natural sciences ,Resist ,chemistry ,Attenuation coefficient ,Extreme ultraviolet ,0103 physical sciences ,Materials Chemistry ,0210 nano-technology ,Lithography - Abstract
The transmissivity of thin photoresist films and its variation during exposure are key parameters in photolithographic processing, but their measurement is far from straightforward at extreme ultraviolet (EUV) wavelength. In this work, we analyze thin films of chemically amplified resists, specifically designed for EUV lithography, synthesized with two different backbone polymers and two different photoacid generators with concentrations ranging from 0 to 140% baseline. The static absorption coefficient α and the variation of transmissivity upon exposure to EUV light (i.e. the Dill parameter C) are measured experimentally with our established methodology. The Dill parameter C, or bleaching, is interpreted in terms of outgassing and it is correlated with the rate of photoacid decomposition to extract the exposure kinetics and the quantum yield. In addition, the dose to clear of each formulation is measured to determine the lithographic sensitivity. It was found that not only the photoacid molecule but also its interaction with the polymer backbone affects bleaching and thus the quantum yield. These experimental observables (α, the Dill parameter C and dose to clear) allow us to determine the amount of clearing volume of the photoresist per unit photoacid. The clearing volume is then discussed as a microscopic figure of merit for the deprotection radius in chemically amplified resists and in light of the pursuit of ultimate resolution in EUV lithography.
- Published
- 2018
- Full Text
- View/download PDF
18. High-resolution grayscale patterning using extreme ultraviolet interference lithography
- Author
-
Helmut Schift, Roberto Fallica, Robert Kirchner, and Yasin Ekinci
- Subjects
Materials science ,Extreme ultraviolet lithography ,Microfluidics ,02 engineering and technology ,Photoresist ,01 natural sciences ,Grayscale ,law.invention ,Interference lithography ,Optics ,law ,0103 physical sciences ,Blazed grating ,Electrical and Electronic Engineering ,010302 applied physics ,business.industry ,Resolution (electron density) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Extreme ultraviolet ,Optoelectronics ,0210 nano-technology ,business - Abstract
Grayscale patterning is technologically relevant in the fabrication of micro-optics elements, microfluidics, micro-electromechanical devices, to name a few. So far, the state-of-the-art is limited to micrometric scale, which is of interest for optical applications in the visible spectrum. In this work, we used extreme ultraviolet light and an interference lithography method to demonstrate the feasibility of grayscale patterning with high lateral and vertical resolution. A double exposure was carried out on poly(methyl methacrylate) photoresist using periodic lines/spaces of two different pitches (100 nm and 50 nm). The resulting morphology of photoresist after development, analyzed by scanning electron microscopy and atomic force microscopy, showed that a dense one-sided blaze profile consisting of three grayscale levels was obtained. The equivalent groove density of the blazed grating was 10,000 lines/mm, which is a remarkable achievement of significant interest for applications such as high resolution and high efficiency diffraction optics. Furthermore, combinations of overlay from non-multiple pitches (100 nm and 80 nm) was accomplished and unconventional structures with nested trenches with total period of 400 nm were obtained.
- Published
- 2017
- Full Text
- View/download PDF
19. Staggered pillar patterning using 0.33NA EUV lithography
- Author
-
Chan-Ha Park, Jeroen Van de Kerkhove, Nouredine Rassoul, Anne-Laure Charley, Pieter Vanelderen, Frederic Lazzarino, Lieve Van Look, Amir-Hossein Tamaddon, Romuald Blanc, Frieda Van Roey, Geert Vandenberghe, Danilo De Simone, Kurt G. Ronse, Chang-Moon Lim, Junghyung Lee, Sarohan Park, Kilyoung Lee, Nadia Vandenbroeck, Roberto Fallica, and Gian Lorusso
- Subjects
Materials science ,Optics ,Resist ,business.industry ,Extreme ultraviolet lithography ,Reticle ,Wafer ,Process window ,business ,Lithography ,Critical dimension ,Aerial image - Abstract
Extreme ultraviolet (EUV) materials are deemed as critical to enable and extend the EUV lithography technology. Currently both chemically amplified resist (CAR) and metal-oxide resist (MOR) platforms are candidates to print tight features on wafer, however patterning requirements, process tonality (positive or negative), illumination settings and reticle tonality (dark or bright) play a fundamental role on the material performance and in consequence on the material choice. In this work we focus on the patterning of staggered pillars using a single EUV exposure, and this by looking at the lithographic and etching performance of CAR and MOR platforms, using metrics as process window, local critical dimension uniformity (LCDU), pillar edge roughness (PER), pillar placement error (PPE) and (stochastic) nano-failures. As a bright field reticle shows a lower aerial image contrast to print pillars compared to the aerial image of contact holes using a dark field reticle, we also investigate alternative patterning solutions such as the tone reversal process (TRP) to pattern pillars from contact holes.
- Published
- 2019
- Full Text
- View/download PDF
20. The hidden energy tail of low energy electrons in EUV lithography
- Author
-
Geert Vandenberghe, Sergei Borisov, Seyed Javid Rezvani, Sergey Babin, Stefano Nannarone, Danilo De Simone, Roberto Fallica, and Gian Lorusso
- Subjects
Materials science ,Mean free path ,Scattering ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Electron ,Atomic physics ,Photoresist ,Kinetic energy ,Secondary electrons - Abstract
Electronic processes in extreme ultraviolet lithography are key to understand chemical reactions that lead to exposure of photoresist because of recent evidence that even very low kinetic energy electrons (Ek → 0 eV) might be very efficient in dissociating the molecules in photoresists. However, an assessment of the distribution of electrons involved in the cascade at kinetic energy approaching zero eV is not trivial in solid state. In this work, we use electron yield spectroscopy from thin photoresist films based on poly(hydroxystyrene) with and without photoacid generator (PAG). The results show that the addition of PAG boosts the electron yield by a factor 2 with respect to the polymer only photoresist. We then elaborate an analytical form of the transfer function that describes the physics of the photoemission by accounting for the energy distribution inside the photoresist material during exposure to extreme ultraviolet light. By fitting the model function to the spectroscopic data, we obtain an estimation for the distribution of electrons which lie inside the material at energy too low to be measured by an external detector, in other words the hidden tail of low energy electrons. For a quantitative comparison, we also use another approach based on MonteCarlo simulation of electronic scattering effects to calculate numerically the magnitude of the electron cascade. Using Chariot simulator, a statistically significant number of electronic trajectories (6 x107) was calculated and the energy distribution of electrons are compared both outside and inside the photoresist film.
- Published
- 2019
- Full Text
- View/download PDF
21. SnOx high-efficiency EUV interference lithography gratings towards the ultimate resolution in photolithography
- Author
-
Roberto Fallica, Tero S. Kulmala, Yasin Ekinci, Daniel Fan, Elizabeth Buitrago, and Michaela Vockenhuber
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Interference lithography ,010309 optics ,Optics ,Resist ,0103 physical sciences ,Multiple patterning ,Optoelectronics ,X-ray lithography ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Electron-beam lithography ,Immersion lithography ,Next-generation lithography - Abstract
We investigate a molecular Sn-oxide based negative tone resist featuring high absorbance at EUV wavelengths for the simple and direct fabrication of highly efficient diffraction gratings necessary for single-digit nm resolution patterning with EUV interference lithography (EUV-IL). In here we show for the first time, dense line-space patterning by electron beam lithography down to 9nm HP resolution using the novel Sn-oxide based resist. We furthermore show patterning of dense line-space structures down to 7nm half-pitch resolution by the use of highly efficient SnOx gratings and EUV-IL. Furthermore, our simulation results show the feasibility of patterning high-resolution nanostructures down to 5nm half-pitch with optical lithography. Display Omitted Sn-oxide based resist used for simple and direct fabrication of efficient gratings for EUV interference lithography.Patterning of dense line-space structures down to 7nm half-pitch (HP) resolution was accomplished.Simulation results show the feasibility of patterning high resolution structures down to 5nm HP with optical lithography.9nm HP resolution SnOx lines can be patterned directly with electron beam lithography.
- Published
- 2016
- Full Text
- View/download PDF
22. Correction: Effect of molecular weight on the EUV-printability of main chain scission type polymers
- Author
-
Harpreet Singh, Roberto Fallica, Ivan Pollentier, Danilo De Simone, Stefan De Gendt, and Ashish Rathore
- Subjects
chemistry.chemical_classification ,Materials science ,Chain scission ,chemistry ,Extreme ultraviolet lithography ,Materials Chemistry ,General Chemistry ,Polymer ,Photochemistry - Abstract
Correction for ‘Effect of molecular weight on the EUV-printability of main chain scission type polymers’ by Ashish Rathore et al., J. Mater. Chem. C, 2020, DOI: 10.1039/c9tc06482f.
- Published
- 2020
- Full Text
- View/download PDF
23. Lithographic performance of ZEP520A and mr-PosEBR resists exposed by electron beam and extreme ultraviolet lithography
- Author
-
Helmut Schift, Roberto Fallica, Robert Kirchner, Yasin Ekinci, Dimitrios Kazazis, Anja Voigt, and Iacopo Mochi
- Subjects
Materials science ,Fabrication ,Silicon ,Extreme ultraviolet lithography ,chemistry.chemical_element ,FOS: Physical sciences ,02 engineering and technology ,Substrate (electronics) ,Applied Physics (physics.app-ph) ,01 natural sciences ,0103 physical sciences ,Materials Chemistry ,Electrical and Electronic Engineering ,Instrumentation ,Lithography ,010302 applied physics ,Condensed Matter - Materials Science ,business.industry ,Process Chemistry and Technology ,Materials Science (cond-mat.mtrl-sci) ,Physics - Applied Physics ,021001 nanoscience & nanotechnology ,3. Good health ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Resist ,chemistry ,Extreme ultraviolet ,Optoelectronics ,0210 nano-technology ,business ,Electron-beam lithography - Abstract
Pattern transfer by deep anisotropic etch is a well-established technique for fabrication of nanoscale devices and structures. For this technique to be effective, the resist material plays a key role and must have high resolution, reasonable sensitivity and high etch selectivity against the conventional silicon substrate or underlayer film. In this work, the lithographic performance of two high etch resistance materials was evaluated: ZEP520A (Nippon Zeon Co.) and mr-PosEBR (micro resist technology GmbH). Both materials are positive tone, polymer-based and non-chemically amplified resists. Two exposure techniques were used: electron beam lithography (EBL) and extreme ultraviolet (EUV) lithography. These resists were originally designed for EBL patterning, where high quality patterning at sub-100 nm resolution was previously demonstrated. In the scope of this work, we also aim to validate their extendibility to EUV for high resolution and large area patterning. To this purpose, the same EBL process conditions were employed at EUV. The figures of merit, i.e. dose to clear, dose to size, and resolution, were extracted and these results are discussed systematically. It was found that both materials are very fast at EUV (dose to clear lower than 12 mJ/cm2) and are capable of resolving dense lines/space arrays with a resolution of 25 nm half-pitch. The quality of patterns was also very good and the sidewall roughness was below 6 nm. Interestingly, the general-purpose process used for EBL can be extended straightforwardly to EUV lithography with comparable high quality and yield. Our findings open new possibilities for lithographers who wish to devise novel fabrication schemes exploiting EUV for fabrication of nanostructures by deep etch pattern transfer., 20 pages, 4 figures, 3 tables
- Published
- 2017
24. Chemical changes in hybrid photoresists before and after exposure by in situ NEXAFS analysis
- Author
-
Gioia Della Giustina, Giovanna Brusatin, Laura Brigo, Roberto Fallica, Benjamin Watts, and Yasin Ekinci
- Subjects
010302 applied physics ,Materials science ,Absorption spectroscopy ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Photochemistry ,medicine.disease_cause ,01 natural sciences ,XANES ,Photopolymer ,Resist ,Extreme ultraviolet ,0103 physical sciences ,medicine ,0210 nano-technology ,Absorption (electromagnetic radiation) ,Ultraviolet - Abstract
Due to its chemical specificity, the near edge X-ray absorption fine structure spectroscopy is an interesting technique to study the changes in hybrid organic-inorganic photoresists. In this work, we analyzed the chemical changes occurring in photoresists synthesized from organically modified precursors and transition metal alkoxides by sol-gel route. These systems are nonchemically amplified resists for ultraviolet, extreme ultraviolet, and electron beam lithography. They are based on Si, Zr, and Ti oxides or a combination of these. The experiments were conducted at the PolLux beamline of the Swiss Light Source, by a scanning transmission X-ray microscopy, which combines the spatially-resolved microscopy and fine structure spectroscopy at once. The absorption spectra were collected in the energy range of the carbon edge (≈ 290 eV) before and after in situ exposure of the photoresists to 500 eV photons. The variations in peak intensity after exposure reveal the changes in the chemical environment of carbon and the chemical configuration of the organic ligands, regardless of the inorganic part. It was found that the photon exposure induced sizable photodegradation or photopolymerization of organic groups (phenyl or methyl methacrylate, respectively). These mechanisms contribute to the foundation for the exposure reaction in negative-tone hybrid photoresists. Interestingly, it was also found that the detachment of the phenyl ligand occurs in a variety of possible pathways to condensation. We believe that our results and approach can provide a better understanding of photochemistry of resists, in particular for extreme ultraviolet lithography.
- Published
- 2017
- Full Text
- View/download PDF
25. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography
- Author
-
Karen Garrido Olvera, Iacopo Mochi, Rik Hoefnagels, Oktay Yildirim, Rolf Custers, Gijsbert Rispens, Zuhal Tasdemir, Michaela Vockenhuber, Marieke Meeuwissen, Yasin Ekinci, and Roberto Fallica
- Subjects
010302 applied physics ,Depth of focus ,Scanner ,Computer science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Interference lithography ,Metrology ,Optics ,Resist ,0103 physical sciences ,0210 nano-technology ,business ,Lithography ,Aerial image - Abstract
Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a better understanding of resist fundamentals by studying the effects of the aerial image on resist performance by changing the aerial image contrast in a controlled manner using EUV-IL.
- Published
- 2017
- Full Text
- View/download PDF
26. Effect of nitrogen doping on the thermal conductivity of GeTe thin films
- Author
-
Claudia Wiemer, Enrico Varesi, Roberto Fallica, Luca Fumagalli, Simona Spadoni, and Massimo Longo
- Subjects
Phase change ,Molecular dynamics ,Materials science ,Thermal conductivity ,Analytical chemistry ,Nitrogen doping ,Interfacial thermal resistance ,General Materials Science ,Thin film ,Condensed Matter Physics ,Stoichiometry ,Amorphous solid - Abstract
The 3ω method was employed to determine the effect of nitrogen doping (5 at.%) on the thermal conductivity of sputtered thin films of stoichiometric GeTe (a material of interest for phase change memories). It was found that nitrogen doping has a detrimental effect on the thermal conductivity of GeTe in both phases, but less markedly in the amorphous (–25%) than in the crystalline one (–40%). On the opposite, no effect could be detected on the measured thermal boundary resistance between these films and SiO2, within the experimental error. Our results agree with those obtained by molecular dynamic simulation of amorphous GeTe. (© 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)
- Published
- 2013
- Full Text
- View/download PDF
27. Structural and electrical analysis of In-Sb-Te-based PCM cells
- Author
-
Toni Stoycheva, Claudia Wiemer, Massimo Longo, and Roberto Fallica
- Subjects
Materials science ,Orders of magnitude (temperature) ,Analytical chemistry ,chemistry.chemical_element ,Nanotechnology ,Dielectric ,Chemical vapor deposition ,Condensed Matter Physics ,Phase-change memory ,chemistry ,General Materials Science ,Thermal stability ,Metalorganic vapour phase epitaxy ,Tin ,Ternary operation - Abstract
Two In–Sb–Te compounds with low Te content (12 at.% and 17 at.%), deposited by metalorganic chemical vapour deposition, were implemented into prototype phase-change memory devices of size 50 × 50 nm2 and 93 × 93 nm2. These chalcogenides yielded devices with higher threshold voltage than those based on Ge–Sb–Te alloys. The endurance and programming window were markedly improved (from 103 to 106 cycles and from 1 to 2 orders of magnitude, respectively) when employing the Te-richer alloy. Moreover, in situ structural and electrical analysis on TiN/In–Sb–Te/dielectric stacks provided additional insight on the thermal stability of the two ternary phases In3SbTe2 and InSb0.8Te0.2, which were found to coexist in these compounds. (© 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)
- Published
- 2013
- Full Text
- View/download PDF
28. Au-catalyzed synthesis and characterisation of phase change Ge-doped Sb–Te nanowires by MOCVD
- Author
-
Toni Stoycheva, Enzo Rotunno, Claudia Wiemer, Massimo Longo, Roberto Fallica, and L. Lazzarini
- Subjects
Materials science ,Nanowires ,Chalcogenide ,Alloy ,Doping ,Nanowire ,Analytical chemistry ,Non-volatile memories ,Nanotechnology ,engineering.material ,Condensed Matter Physics ,Inorganic Chemistry ,Monocrystalline silicon ,chemistry.chemical_compound ,chemistry ,MOCVD ,Nano ,Materials Chemistry ,engineering ,Metalorganic vapour phase epitaxy ,Stoichiometry ,Chalcogenides - Abstract
The interest in the Ge doped Sb–Te chalcogenide alloy is mainly related to phase change memory applications. In view of phase change device scaling and reduction of programming energy, Sb–Te nanowires (NWs) become an attractive option. In this work, in order to investigate their potential transferability to industrial implementation, the self-assembly of Sb 2 Te 3 NWs and Ge–Sb–Te NWs with Ge content in the range of 1–13% (Ge doping) was studied by coupling the advantages of MOCVD and the Vapour–Liquid–Solid (VLS) mechanism. The results show the structural and compositional gradual changes occurring from pure Sb 2 Te 3 NWs to the previously reported, stoichiometric Ge 1 Sb 2 Te 4 NWs [ [12] M. Longo et al., Nano Lett., 12 (2012) 1509]. The typical diameter of the obtained NWs resulted to be 50 nm, with lengths up to 3 μm. The typology of Au catalyst nanoislands influenced both the NW morphology and the Ge incorporation during the VLS self-assembly; the Ge metalorganic precursor partial pressure affected the NW morphology and their structure. Finally, TEM observations revealed that defect-free, monocrystalline Sb 2 Te 3 and Ge-doped Sb–Te phase change NWs could be obtained.
- Published
- 2013
- Full Text
- View/download PDF
29. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute
- Author
-
Yasin Ekinci, Roberto Fallica, Daniel Fan, Jeroen A. van Bokhoven, Waiz Karim, Michaela Vockenhuber, and Elizabeth Buitrago
- Subjects
010302 applied physics ,Materials science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Interference lithography ,law.invention ,Optics ,law ,0103 physical sciences ,Multiple patterning ,X-ray lithography ,Photolithography ,0210 nano-technology ,business ,Lithography ,Next-generation lithography ,Immersion lithography - Abstract
Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.
- Published
- 2016
- Full Text
- View/download PDF
30. Comparative study of line roughness metrics of chemically amplified and inorganic resists for EUV
- Author
-
Roberto Fallica, Elizabeth Buitrago, and Yasin Ekinci
- Subjects
010302 applied physics ,Materials science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,Surface finish ,021001 nanoscience & nanotechnology ,01 natural sciences ,Interference lithography ,International Technology Roadmap for Semiconductors ,chemistry.chemical_compound ,Optics ,Resist ,chemistry ,Extreme ultraviolet ,0103 physical sciences ,0210 nano-technology ,business ,Lithography ,Hydrogen silsesquioxane - Abstract
We present a comprehensive study of the roughness metrics of different resists. Dense line/space (L/S) images of polymethyl methacrylate (PMMA), hydrogen silsesquioxane (HSQ), different chemically amplified resists (CARs), and metal oxide based resists have been patterned by extreme ultraviolet interference lithography (EUV-IL). The three line width roughness metrics: r.m.s. value σLWR, correlation length ξ and roughness exponent α, were measured by metrological analysis of top down SEM images and compared for the different resists imaged here. It was found, that all metrics are required to fully describe the roughness of each resist. Our measurements indicate that few of the state-of-the- art resists tested here can meet the International Technology Roadmap for Semiconductors (ITRS) requirements for σLWR. The correlation length ξ has been found to be considerably higher in polymer-based materials in comparison to non-polymers. The roughness exponent α, interpreted using the concept of fractal geometry, is mainly affected by acid diffusion in CARs where it produces line edges with a higher complexity than in non-CAR resists. These results indicate that different resists platforms show very different LWR resist metrics and roughness is not only manifested in the σLWR but in all parameters. Therefore, all roughness metrics should be taken into account in the performance comparison of the resist, since they can have a substantial impact on the device performance.
- Published
- 2016
- Full Text
- View/download PDF
31. Optimization and sensitivity enhancement of high-resolution molecular resist for EUV lithography
- Author
-
Alexandra McClelland, Alex P. G. Robinson, Yasin Ekinci, John Roth, Andreas Frommhold, and Roberto Fallica
- Subjects
010302 applied physics ,Materials science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,Photoresist ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Optics ,Resist ,law ,0103 physical sciences ,Optoelectronics ,X-ray lithography ,Photolithography ,0210 nano-technology ,business ,Electron-beam lithography ,Next-generation lithography ,Immersion lithography - Abstract
We have recently introduced a new molecular resist system that demonstrates high-resolution capability. A series of studies such as quencher choice and loading was conducted in order to optimize the performance of this material. The optimized conditions allowed patterning 14 nm half-pitch (hp) lines with a line width roughness (LWR) of 3.56 nm at the XIL beamline of the Swiss Light source. Furthermore it was possible to resolve 11 nm hp features with 5.9 nm LWR. First exposure results on an NXE3300 are also presented. We have also begun to investigate the addition of metals to EUV photoresist as a means to increase sensitivity and modify secondary electron blur. Initial results for one of the metal additives show that the sensitivity could be enhanced by up to 60 percent.
- Published
- 2016
- Full Text
- View/download PDF
32. Dynamic absorption coefficients of CAR and non-CAR resists at EUV
- Author
-
Jason K. Stowers, Alex P. G. Robinson, Andrew Grenville, Andreas Frommhold, Roberto Fallica, and Yasin Ekinci
- Subjects
010302 applied physics ,Photon ,Materials science ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,Radius ,021001 nanoscience & nanotechnology ,01 natural sciences ,Computational physics ,Optics ,Resist ,Attenuation coefficient ,Extreme ultraviolet ,0103 physical sciences ,Figure of merit ,0210 nano-technology ,business ,Absorption (electromagnetic radiation) - Abstract
The dynamic absorption coefficients of several CAR and non-CAR EUV photoresists are measured experimentally using a specifically developed setup in transmission mode at the XIL beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called Chemical Sensitivity to account for all the post-absorption chemical reaction ongoing in the resist, which is also predicts a quantitative clearing volume, and respectively clearing radius, due to the photon absorption in the resist. These parameters may help in deeper insight into the underlying mechanisms of EUV concept of clearing volume and clearing radius are then defined and quantitatively calculated.
- Published
- 2016
- Full Text
- View/download PDF
33. EUV lithography process challenges
- Author
-
Tero S. Kulmala, Roberto Fallica, Yasin Ekinci, and Elizabeth Buitrago
- Subjects
Materials science ,Computational lithography ,law ,Extreme ultraviolet lithography ,Multiple patterning ,Nanotechnology ,X-ray lithography ,Photolithography ,Photoresist ,Next-generation lithography ,Interference lithography ,law.invention - Abstract
Outstanding technology innovations have continuously allowed photolithography to remain at the forefront of semiconductor manufacturing for decades. New materials and processes for the next generation of photolithography need to be developed and understood for the semiconductor industry to stay competitive and be able to extend the technology roadmap into the single-digit nanometer resolution regime. As the reduction of the exposure wavelength to extreme ultraviolet (EUV, λ = 13.5 nm) is imminent in photolithography systems, EUV lithography represents the future of high-volume manufacturing of semiconductor devices. Nonetheless, EUV introduction is complex and significant problems need to be overcome. In this chapter, EUV lithography process challenges will be explored and EUV interference lithography will be presented as a valuable tool not only for photoresist research testing but patterning of periodic structures at ultra-high resolution for academic purposes.
- Published
- 2016
- Full Text
- View/download PDF
34. Thermal and Electrical Characterization of Materials for Phase-Change Memory Cells
- Author
-
Claudia Wiemer, Andrea Gotti, Jean-Luc Battaglia, S. Cocco, Enrico Varesi, Raimondo Cecchini, Roberto Fallica, Andrew Teren, Marco Fanciulli, and Cristiano Monguzzi
- Subjects
Phase transition ,Chemistry ,Chalcogenide ,General Chemical Engineering ,Physics::Optics ,Mineralogy ,General Chemistry ,Thermal conduction ,Condensed Matter::Disordered Systems and Neural Networks ,Amorphous solid ,Phase-change memory ,Condensed Matter::Materials Science ,chemistry.chemical_compound ,Thermal conductivity ,Electrical resistivity and conductivity ,Thermal ,Composite material - Abstract
The thermal properties of the phase-change chalcogenide alloy Ge2Sb2Te5 in its three phases (amorphous, cubic, and hexagonal) and of Si3N4 and SiO2 have been studied to obtain reliable values for device modeling. Thermal conductivity was determined, along with a quantitative estimation of the thermal resistances of the layers’ interfaces, not negligible for highly scaled devices. Electrical resistivity of the chalcogenide material has also been investigated during the phase transition by in situ measurement at constant heating rate.
- Published
- 2009
- Full Text
- View/download PDF
35. ChemInform Abstract: A Novel Sb2Te3Polymorph Stable at the Nanoscale
- Author
-
Massimo Longo, Laura Lazzarini, Stephen J. Pennycook, Marco Bernasconi, Roberto Fallica, Enzo Rotunno, Andrew R. Lupini, Davide Campi, and Claudia Wiemer
- Subjects
Chemistry ,Thermal ,Nanowire ,Nanotechnology ,Wafer ,General Medicine ,Metalorganic vapour phase epitaxy ,Nanoscopic scale ,Layer (electronics) - Abstract
Self-assembled Sb2Te3 nanowires with diameters of
- Published
- 2015
- Full Text
- View/download PDF
36. Toward 10nm half-pitch in EUV lithography: results on resist screening and pattern collapse mitigation techniques
- Author
-
Yasin Ekinci, Tero S. Kulmala, Roberto Fallica, Elizabeth Buitrago, and Michaela Vockenhuber
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Integrated circuit ,Surface finish ,Line edge roughness ,law.invention ,Interference lithography ,Optics ,Resist ,law ,Optoelectronics ,Wafer ,business - Abstract
Extreme ultraviolet lithography (EUVL) is considered to be the most promising option to continue with the aggressive scaling required in high-volume manufacturing (HVM) of integrated circuits. One of the main challenges, however, is the development of EUV resists that fulfill the strict sensitivity, resolution, and line-edge roughness specifications of future nodes. Here, we present our EUV resist screening results of a wide range of EUV resists in their developmental phase from our collaborators from around the world. Furthermore, we have carried out extensive experiments to improve the processing parameters of the resists as well as to identify the optimal wafer pre-treatment methods in order to optimize the adhesion of the resist to the substrate. We show that even though significant improvements in performance of chemically amplified resists have been achieved, pattern collapse is still the major process-limiting factor as the resolution decreases below 14 nm half-pitch (HP).
- Published
- 2015
- Full Text
- View/download PDF
37. A Novel Sb2Te3 Polymorph Stable at the Nanoscale
- Author
-
Davide Campi, Stephen J. Pennycook, Marco Bernasconi, Enzo Rotunno, Roberto Fallica, Massimo Longo, Laura Lazzarini, Andrew R. Lupini, Claudia Wiemer, Rotunno, E, Longo, M, Wiemer, C, Fallica, R, Campi, D, Bernasconi, M, Lupini, A, Pennycook, S, and Lazzarini, L
- Subjects
Materials science ,General Chemical Engineering ,Nanowire ,General Chemistry ,Trigonal crystal system ,self-assembly ,nanowires, metal-organic chemical vapour deposition, phase change materials, electronic structure calculations ,Faceting ,Crystallography ,new polymorph ,Lattice (order) ,Metastability ,MOCVD ,Materials Chemistry ,First principle ,Metalorganic vapour phase epitaxy ,structure ,Nanoscopic scale ,first principle calculations ,FIS/03 - FISICA DELLA MATERIA ,Sb2Te3 - Abstract
We report on the MOCVD synthesis of Sb2Te3 nanowires that self-assemble in a novel metastable polymorph. The nanowires crystallize in a primitive trigonal lattice (P (3) over bar m1 SG #164) with lattice parameters a = b = 0.422 nm, and c = 1.06 nm. The stability of the polymorph has been studied by first principle calculations: it has been demonstrated that the stabilization is due to the particular side-wall faceting, finding excellent agreement with the experimental observations.
- Published
- 2015
- Full Text
- View/download PDF
38. Selective Surface Smoothening of Polymer Microlenses by Depth Confined Softening
- Author
-
Nachiappan Chidambaram, Robert Kirchner, Libo Yu, Roberto Fallica, Helmut Schift, and Mirco Altana
- Subjects
Materials science ,02 engineering and technology ,medicine.disease_cause ,01 natural sciences ,Industrial and Manufacturing Engineering ,Optics ,Etching ,0103 physical sciences ,medicine ,Surface roughness ,General Materials Science ,Absorption (electromagnetic radiation) ,010302 applied physics ,chemistry.chemical_classification ,business.industry ,Stray light ,Polymer ,021001 nanoscience & nanotechnology ,Selective surface ,chemistry ,Mechanics of Materials ,Extreme ultraviolet ,Optoelectronics ,0210 nano-technology ,business ,Ultraviolet - Abstract
Polymeric refractive micro-optical devices simultaneously demand striking smooth 3D topographies and precise shape accuracy for high performance and low stray light. Here, a surface selective smoothening of thermoplastic, polymeric material has been established while maintaining the high curvature corners required for a 50 µm tall, refractive, optical diffuser device. The 3D master structures are fabricated using direct write laser-lithography with two-photon absorption. Master structures are replicated into poly(methyl methacrylate) through a poly(dimethyl siloxane) intermediate copying step and subsequently smoothed out. Here, various high-energy radiations have been considered to have a surface selective exposure and have been narrowed down to 172 nm ultraviolet exposure to be the ideal fit for this application. The 172 nm exposure provides selective modification of an up to 400 nm thick surface skin layer and negligible etching, which allows smoothening out up to 420 nm surface steps and reducing the RMS roughness from 22 nm down to below 10 nm by thermally driven material displacement, the so–called reflow, on a global pattern scale. Extreme ultraviolet exposure, as an alternative method, shows a higher modification efficiency than the 172 nm but has severe practical limitations.
- Published
- 2017
- Full Text
- View/download PDF
39. Thermal Conductivity Measurement of a Sb2Te3 Phase Change Nanowire
- Author
-
Indrayush De, Jean-Luc Battaglia, Abdelhak Saci, Andrzej Kusiak, Roberto Fallica, and Massimo Longo
- Subjects
Thermal conductivity measurement ,Scanning probe microscopy ,Thermal conductivity ,Materials science ,business.industry ,Thermal ,Heat transfer ,Contact resistance ,Analytical chemistry ,Nanowire ,Phase (waves) ,Optoelectronics ,business - Abstract
In this work we present the measurements of thermal conductivity of nanowire Sb2Te3 phase change. These measurements are made using a thermal scanning probe microscopy (SThM) operating in regime modulated type 3ω. The spatial resolution of the probe is of the order of 100 nm. The measurement of amplitude and phase are used to identify unknown radius of contact between the nanowire and the sensor parameters, the contact resistance at the interface probe and nanowire and the thermal conductivity of the nanowire. An identification method is used which minimizes the difference between the measured values and those from a simulated model of heat transfer in the materials. This model uses a matched model heat transfer in the probe
- Published
- 2014
- Full Text
- View/download PDF
40. thermal properties of In-Sb-Te thin films for phase change memory application
- Author
-
Andrzej Kusiak, Jean-Luc Battaglia, Claudia Wiemer, Cecile Gaborieau, Massimo Longo, Alessio Lamperti, Huu Tan Nguyen, Yanick Anguy, and Roberto Fallica
- Subjects
Thermal Boundary Resistance ,Materials science ,business.industry ,Chalcogenide ,Phase Change Memory ,Thermal Conductivity ,Dielectric ,Chemical vapor deposition ,Phase-change memory ,chemistry.chemical_compound ,Thermal conductivity ,chemistry ,In-Sb-Te Ternary ,Electronic engineering ,Optoelectronics ,Interfacial thermal resistance ,Metalorganic vapour phase epitaxy ,Thin film ,business - Abstract
Phase change memories (PCM) are typically based on compounds of the Ge-Sb-Te (GST) ternary system. Nevertheless, a major drawback of PCM devices based on GST is the low crystallization temperature, which prevents the fulfillment of automotive-level or military-grade requirements (125°C continuous operation). To overcome this limitation, alloys belonging to the In-Sb-Te (IST) system have been proposed, which have demonstrated high crystallization temperature, and fast switching. Thermal properties of the chalcogenide alloy and of its interfaces within the PCM cell are key parameters versus the programming current, reliability and optimized scaling of PCM devices. The Modulated Photothermal Radiometry (MPTR) technique was implemented to measure the thermal conductivity of IST thin films as well as the thermal boundary resistance at the interface with other surrounding materials (a metal and a dielectric). The experiment was carried out in situ from room temperature up to 550°C in order to investigate the intrinsic thermal properties at different temperatures and the significant structural rearrangement upon the phase transition. Two different stoichiometries for the IST ternary alloy were deposited by Metal Organic Chemical Vapor Deposition (MOCVD) on a Si substrate covered with thermal SiO2 and then capped with a Platinum layer that acts as an optical and thermal transducer. Additional data from Raman and XRD lead to complementary analysis.
- Published
- 2014
41. Thermal properties of In-Sb-Te films and interfaces for phase change memory devices
- Author
-
Andrzej Kusiak, Massimo Longo, Elena Cianci, Roberto Fallica, Claudia Wiemer, Toni Stoycheva, Jean-Luc Battaglia, and Huu Tan Nguyen
- Subjects
Materials science ,chemistry.chemical_element ,Chemical vapor deposition ,Thermal treatment ,Thermal boundary resistance ,Condensed Matter Physics ,Phase change materials ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Crystallinity ,chemistry.chemical_compound ,3 omega ,Thermal conductivity ,chemistry ,Silicon nitride ,Interfacial thermal resistance ,Electrical and Electronic Engineering ,Composite material ,Silicon oxide ,Tellurium ,Chalcogenides - Abstract
The thermal properties of two different compositions (Te 12 and 17 at.%) of In-Sb-Te, obtained by metalorganic chemical vapour deposition, were investigated by the 3 omega method. The thermal conductivity of these chalcogenides, of interest for phase change memory applications, was found to decrease with increasing tellurium content. Thermal treatment at 480 degrees C of these materials caused an increase of their crystallinity and improved the thermal conductivity. However, this effect was more marked in the Te-poor composition than in the Te-rich one. In addition, the thermal boundary resistance between In-Sb-Te and various capping dielectrics (SiO2, Si3N4 and Al2O3) was measured and it was found to be closely correlated to the interlayer roughness, as indicated by X-ray reflectivity. In this regard, silicon oxide and alumina yielded a smoother and less resistive interface with In-Sb-Te than silicon nitride. (C) 2013 Elsevier B.V. All rights reserved.
- Published
- 2014
- Full Text
- View/download PDF
42. Effect of a thin Ti interfacial layer on the thermal resistance of Ge2Sb2Te5-TiN stack
- Author
-
Alessio Lamperti, Jean-Luc Battaglia, Abdelhak Saci, Andrzej Kusiak, Claudia Wiemer, and Roberto Fallica
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,Thermal resistance ,Metallurgy ,chemistry.chemical_element ,Thermal conductivity ,chemistry ,Stack (abstract data type) ,Phase (matter) ,Thermal ,Composite material ,Tin ,Layer (electronics) ,Titanium - Abstract
We study the dependence of the thermal resistance of TiN/Ge2Sb2Te5 stacks on Si in the presence or not of a thin Ti interfacial layer. While for TiN/Ge2Sb2Te5 almost ideal thermal properties of the interfaces are found, a different behaviour is measured for TiN/Ti/Ge2Sb2Te5. After exposure to temperatures up to 440 °C, the thermal resistance results to be lower than expected despite both the formation of the TiTe2 phase, the depletion of Te inside Ge2Sb2Te5, and the non complete development of the hexagonal structure. Those observations have been also validated on the SiO2/Ge2Sb2Te5 stack with and without Ti interfacial layer.
- Published
- 2014
- Full Text
- View/download PDF
43. Growth study and characterization of In-Sb-Te compounds deposited onto different substrates by metal-organic chemical vapour deposition
- Author
-
Toni Stoycheva, Claudia Wiemer, Roberto Fallica, F. G. Volpe, and Massimo Longo
- Subjects
Materials science ,Chalcogenide ,Metals and Alloys ,Nanotechnology ,Non-volatile memories ,Surfaces and Interfaces ,Chemical vapor deposition ,Atmospheric temperature range ,In-Sb-Te ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,chemistry.chemical_compound ,Chemical engineering ,chemistry ,MOCVD ,Materials Chemistry ,Surface roughness ,Deposition (phase transition) ,Metalorganic vapour phase epitaxy ,Thin film ,Chalcogenides - Abstract
A systematic study of the deposition parameters for themetal-organic chemical vapour deposition growth of In-Sb- Te (IST), of interest for phase change memory applications, was performed. Samples were grown on Si/SiO2 and patterned substrates in the (220 divided by 350) degrees C temperature range and working pressure from 35 to 100x10(2) Pa, which resulted in the formation of thin films (down to 30 nm) or IST crystals. The chemical composition of the IST films was mainly dependent on the deposition temperature. We have demonstrated the possibility to obtain a conformal and smooth morphology with improved surface roughness for films grown at 260 degrees C when the substrate surface is treated with the TrisDimethylaMinoAntimony ([N(CH3)(2)](3)Sb) precursor. The IST-based chalcogenide films exhibited different crystalline and partially amorphous phases, which may be favourable for multilevel data storage. The IST growth mechanism was analysed in terms of the structural, compositional and electrical properties.
- Published
- 2013
- Full Text
- View/download PDF
44. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet
- Author
-
Jason K. Stowers, Andrew Grenville, Andreas Frommhold, Alex P. G. Robinson, Roberto Fallica, and Yasin Ekinci
- Subjects
010302 applied physics ,Chemistry ,business.industry ,Mechanical Engineering ,Extreme ultraviolet lithography ,02 engineering and technology ,Radius ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Molecular physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Optics ,Resist ,Attenuation coefficient ,Extreme ultraviolet ,0103 physical sciences ,Figure of merit ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Absorption (electromagnetic radiation) ,Lithography - Abstract
The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.
- Published
- 2016
- Full Text
- View/download PDF
45. Electronic properties of crystalline Ge1-xSbxTey thin films
- Author
-
Claudia Wiemer, Adulfas Abrutis, O. Salicio, Roberto Fallica, F. G. Volpe, and Massimo Longo
- Subjects
NO CARRIER ,Electron mobility ,Materials science ,Physics and Astronomy (miscellaneous) ,Carrier scattering ,Scattering ,business.industry ,BAND-STRUCTURE ,Analytical chemistry ,Chemical vapor deposition ,SEMICONDUCTORS ,GETE FILMS ,ELECTRICAL-PROPERTIES ,Semiconductor ,GROWTH ,Metalorganic vapour phase epitaxy ,Thin film ,business - Abstract
Ge1-xSbxTey thin films, grown by metalorganic and hot-wire liquid injection chemical vapor deposition in different crystalline phases, are investigated to determine resistivity, carrier density, and carrier mobility in the 4.2-300 K temperature range. It is found that all these chalcogenides exhibit p-type conduction, high carrier density (>2 . 10(20) cm(-3)), and no carrier freeze-out, regardless of composition. Low-temperature mobility data show that both chemical composition and growth technique affect the defect density and, in turn, the carrier scattering mechanisms. In this regard, charge carrier mobility is analyzed according to semi-empirical scattering models and an interpretation is provided.
- Published
- 2012
- Full Text
- View/download PDF
46. Metal Organic Chemical Vapor Deposition of Phase Change Ge1Sb2Te4 Nanowires
- Author
-
Massimo Longo, O. Salicio, Enzo Rotunno, Marco Fanciulli, Claudia Wiemer, Roberto Fallica, Laura Lazzarini, Longo, M, Fallica, R, Wiemer, C, Salicio, O, Fanciulli, M, Rotunno, E, and Lazzarini, L
- Subjects
Phase transition ,Materials science ,Macromolecular Substances ,Surface Properties ,Molecular Conformation ,Nanowire ,Bioengineering ,Nanotechnology ,Chemical vapor deposition ,Phase Transition ,law.invention ,VLS ,law ,Materials Testing ,Ge1Sb2Te4 nanowires ,Deposition (phase transition) ,General Materials Science ,Metalorganic vapour phase epitaxy ,Organic Chemicals ,Particle Size ,Crystallization ,phase-change memory ,Nanowires ,Mechanical Engineering ,General Chemistry ,Nanosecond ,Condensed Matter Physics ,Nanostructures ,Threshold voltage ,Chemical engineering ,Metals ,MOCVD ,Gases - Abstract
The self-assembly of Ge(1)Sb(2)Te(4) nanowires (NWs) for phase change memories application was achieved by metal organic chemical vapor deposition, catalyzed by Au nanoislands in a narrow range of temperatures and deposition pressures. In the optimized conditions of 400 °C, 50 mbar, the NWs are Ge(1)Sb(2)Te(4) single hexagonal crystals. Phase change memory switching was reversibly induced by nanosecond current pulses through metal-contacted NWs with threshold voltage of about 1.35 V.
- Published
- 2012
- Full Text
- View/download PDF
47. Growth study of GexSbyTez deposited by MOCVD under nitrogen for non-volatile memory applications
- Author
-
Marco Fanciulli, Alessandro Molle, O. Salicio, Michael Heuken, Massimo Longo, Claudia Wiemer, P.K. Baumann, B. Seitzinger, Roberto Fallica, Ch. Giesen, and Simon A. Rushworth
- Subjects
Materials science ,Chalcogenide ,Nucleation ,Nanotechnology ,Non-volatile memories ,Island growth ,Condensed Matter Physics ,Inorganic Chemistry ,Phase-change memory ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Phase (matter) ,MOCVD ,Materials Chemistry ,Deposition (phase transition) ,Metalorganic vapour phase epitaxy ,Sheet resistance ,Chalcogenides - Abstract
We report on the bubbler-type MOCVD growth of GexSbyTez, (GST) on SiO2/Si substrates, potentially transferable to phase change memory (PCM) devices. Pure nitrogen Was used as the process gas in order to reduce toxicity whilst increasing the simplicity of the process. This systematic Study allowed the modification of the growth parameters on SiO2 to move through initial sub-micrometric crystalline grain deposition on to lateral island growth. Temperature was observed to play a critical role in film quality with strong morphology and island shape/size changes for small thermal variations. Eventually, continuous layers of GST in the hcp phase and composition close to the 2:2:5 were Studied. The deposition on different substrates was also investigated. Although crystal nucleation is still far from achieving the target step coverage required for uniform coating of patterned Substrates, the electrical sheet resistance of CST films exhibited values corresponding to those expected for chalcogenide materials suitable to he integrated into PCM devices. (C) 2008 Elsevier B.V. All rights reserved.
- Published
- 2008
- Full Text
- View/download PDF
48. Organometallic carboxylate resists for extreme ultraviolet with high sensitivity
- Author
-
James Passarelli, Miriam Sortland, Daniel A. Freedman, Michael C. Murphy, Yasin Ekinci, Ryan Del Re, Mark Neisser, Levi Dousharm, Roberto Fallica, Jodi Hotalen, and Robert L. Brainard
- Subjects
Olefin fiber ,Acrylate ,Mechanical Engineering ,Condensed Matter Physics ,Photochemistry ,Methacrylate ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Resist ,chemistry ,Main group element ,Polymerization ,Polymer chemistry ,Molecule ,Carboxylate ,Electrical and Electronic Engineering - Abstract
We have developed organometallic carboxylate compounds [RnM(O2CR′)2] capable of acting as negative-tone extreme ultraviolet (EUV) resists. The most sensitive of these resists contain antimony, three R-groups and two carboxylate groups, and carboxylate groups with polymerizable olefins (e.g., acrylate, methacrylate, or styrenecarboxylate). Evidence suggests that high sensitivity is achieved through the polymerization of olefins in the exposed region. We have performed a systematic sensitivity study of the molecules of the type RnM(O2CR′)2 where we have studied seven R groups, four main group metals (M), and three polymerizable carboxylate groups (O2CR′). The sensitivity of these resists was evaluated using Emax or dose to maximum resist thickness after exposure and development. We found that the greatest predictor of sensitivity of the RnSb(O2CR′)2 resists is their level of polymerizable olefins. We mathematically define the polymerizable olefin loading (POL) as the ratio of the number of olefins versus the number of nonhydrogen atoms. Linear and log plots of Emax versus POL for a variety of molecules of the type R3Sb(O2CR′)2 lend insight into the behavior of these resists.
- Published
- 2015
- Full Text
- View/download PDF
49. Toward 10 nm half-pitch in extreme ultraviolet lithography: results on resist screening and pattern collapse mitigation techniques
- Author
-
Tero S. Kulmala, Elizabeth Buitrago, Roberto Fallica, Yasin Ekinci, and Michaela Vockenhuber
- Subjects
Materials science ,business.industry ,Mechanical Engineering ,Extreme ultraviolet lithography ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Optics ,Resist ,law ,Extreme ultraviolet ,Optoelectronics ,X-ray lithography ,Electrical and Electronic Engineering ,Photolithography ,business ,Lithography ,Electron-beam lithography ,Next-generation lithography - Abstract
Extreme ultraviolet (EUV) lithography is considered to be the most promising option to continue with the downscaling of integrated circuits in high-volume manufacturing. One of the main challenges, however, is the development of EUV resists that fulfill the strict sensitivity, resolution, and line-edge roughness specifications of future nodes. Here, we present our EUV resist screening results of a wide range of EUV resists in their developmental phase from our collaborators from around the world. Furthermore, we have carried out extensive experiments to improve the processing parameters of the resists as well as to identify the optimal wafer pretreatment methods in order to optimize the adhesion of the resist to the substrate. We show that even though significant improvements in performance of chemically amplified resists have been achieved, pattern collapse is still the major process-limiting factor as the resolution decreases below 14 nm half-pitch.
- Published
- 2015
- Full Text
- View/download PDF
50. Thermal conductivity measurement of a Sb2Te3 phase change nanowire
- Author
-
Roberto Fallica, Andrzej Kusiak, Massimo Longo, Jean-Luc Battaglia, and Abdelhak Saci
- Subjects
Thermal contact conductance ,Condensed Matter::Materials Science ,Thermal conductivity measurement ,Materials science ,Thermal conductivity ,Physics and Astronomy (miscellaneous) ,Condensed matter physics ,Contact resistance ,Nanowire ,Scanning thermal microscopy ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,Contact area ,Thermal conduction - Abstract
The c-axis thermal conductivity of a Sb2Te3 nanowire is measured using the scanning thermal microscopy technique within the 3 omega mode. The contact parameters, in terms of boundary contact resistance and contact area radius, are measured in specific configurations, and the values found are assumed not to vary within the nanowire case. The method does not require handling or suspending the nanowire. The measured thermal conductivity at room temperature is found to be in a good agreement with that of the bulk, since the nanowire characteristic dimension in the diffusion direction is larger than the phonon mean free path. (C) 2014 AIP Publishing LLC.
- Published
- 2014
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.