Search

Your search keyword '"Registered memory"' showing total 2,347 results

Search Constraints

Start Over You searched for: Descriptor "Registered memory" Remove constraint Descriptor: "Registered memory"
2,347 results on '"Registered memory"'

Search Results

1. Introducing TAM: Time-Based Access Memory

2. Investigations on InfiniBand: Efficient Network Buffer Utilization at Scale

3. Memory Systems

4. Screen Orientation Aware DRAM Architecture for Mobile Video and Graphic Applications

5. The Case for Explicit Reuse Semantics for RDMA Communication

6. Energy Optimization for Data Allocation With Hybrid SRAM+NVM SPM

7. HMC-MAC: Processing-in Memory Architecture for Multiply-Accumulate Operations with Hybrid Memory Cube

8. Embedded DRAM-Based Memory Customization for Low-Cost FFT Processor Design

9. HL-PCM: MLC PCM Main Memory with Accelerated Read

10. In-Memory Processing Paradigm for Bitwise Logic Operations in STT–MRAM

11. Efficient Memory Partitioning for Parallel Data Access in FPGA via Data Reuse

12. An Efficient Hierarchical Banking Structure for Algorithmic Multiported Memory on FPGA

13. Heterogeneous HMC+DDRx Memory Management for Performance-Temperature Tradeoffs

14. Exploiting Unused Spare Columns and Replaced Columns to Enhance Memory ECC

16. A 0.65-V, 500-MHz Integrated Dynamic and Static RAM for Error Tolerant Applications

17. Platform Storage Performance With 3D XPoint Technology

18. Segment and Conflict Aware Page Allocation and Migration in DRAM-PCM Hybrid Main Memory

19. MemFlex: A Shared Memory Swapper for High Performance VM Execution

20. Dynamic Adaptive Replacement Policy in Shared Last-Level Cache of DRAM/PCM Hybrid Memory for Big Data Storage

21. A novel hardware support for heterogeneous multi-core memory system

22. A Built-Off Self-Repair Scheme for Channel-Based 3D Memories

23. System implications of LLC MSHRs in scalable memory systems

24. An ECC-Assisted Postpackage Repair Methodology in Main Memory Systems

25. CACTI 7

26. Recent Technology Advances of Emerging Memories

27. Excavating the Hidden Parallelism Inside DRAM Architectures With Buffered Compares

28. Cross-Layer Optimization for Multilevel Cell STT-RAM Caches

29. Energy-Aware Data Allocation With Hybrid Memory for Mobile Cloud Systems

30. Harmonized memory system for object-based cloud storage

31. PMC

32. A Single-Tier Virtual Queuing Memory Controller Architecture for Heterogeneous MPSoCs

33. Mobile Unified Memory-Storage Structure Based on Hybrid Non-Volatile Memories

34. Page Fault Support for Network Controllers

35. Mallacc

36. Translation-Triggered Prefetching

37. Impact Analysis On A Memory Hierarchy Applied To IPNoSys Architecture

38. Resource-Efficient SRAM-Based Ternary Content Addressable Memory

39. (FPL 2015) Scavenger

40. Odd/Even Invert coding for phase change memory with thermal crosstalk

41. Energy-Efficient Adaptive Computing With Multifunctional Memory

42. Area and Energy-Efficient Complementary Dual-Modular Redundancy Dynamic Memory for Space Applications

43. CasHMC: A Cycle-Accurate Simulator for Hybrid Memory Cube

44. SwapX: An NVM-Based Hierarchical Swapping Framework

45. Using Switchable Pins to Increase Off-Chip Bandwidth in Chip-Multiprocessors

46. Efficient Designs of Multiported Memory on FPGA

47. Optimizing Read-Once Data Flow in Big-Data Applications

48. Adaptive Memory Controller for High-performance Multi-channel Memory

49. Microarchitecture and Circuits for a 200 MHz Out-of-Order Soft Processor Memory System

50. Affinity-Based Thread and Data Mapping in Shared Memory Systems

Catalog

Books, media, physical & digital resources