4,453 results on '"Photoresists"'
Search Results
2. Tin-oxo nanoclusters for extreme ultraviolet photoresists: Effects of ligands, counterions, and doping.
- Author
-
Du, Tingli, Yang, Xiaowei, Zhao, Yanyan, Han, Pingping, Zhao, Jijun, and Zhou, Si
- Subjects
- *
PHOTORESISTS , *LIGANDS (Chemistry) , *MOLECULAR dynamics , *ABSORPTION coefficients , *PHOTOLITHOGRAPHY - Abstract
The extreme ultraviolet (EUV) nanolithography technology is the keystone for developing the next-generation chips. As conventional chemically amplified resists are approaching the resolution limit, metal-containing photoresists, especially tin-oxo clusters, seize the opportunity to embrace this challenge owing to their small sizes, precise atomic structures, and strong EUV absorption. However, atomistic insights into the mechanism for regulating their photolithographic behavior are lacking. Herein, we systematically explored the effects of ligands, counterions, and endohedral doping on the photophysical properties of tin-oxo cage clusters by first-principles calculations combined with molecular dynamics simulations. Photoresists assembled by allyl-protected clusters with small-size OH− or Cl− counterions have a high absorption coefficient at the EUV wavelength of 13.5 nm and a low energy cost for ligand detachment and superior stability to ensure high sensitivity and strong etch resistance, respectively. The photoresist performance can further be improved by endohedral doping of the metal-oxo nanocage with Ag+ and Cd2+ ions, which exhibit superatomic characteristics and are likely to be synthesized in laboratory. These theoretical results provide useful guidance for modification of metal-oxo clusters for high-resolution EUV photolithography. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
3. Mechanism of selective SiO2/photoresist reactive ion etching in an inductively coupled plasma operated in a C4F8/H2 gas mixture.
- Author
-
Nesterenko, Iurii, Kalas, Benjamin, Dao, Thang Duy, Schulze, Julian, and Andrianov, Nikolai
- Subjects
- *
GAS mixtures , *RADIO frequency , *PLASMA frequencies , *FLUOROCARBONS , *PHOTORESISTS - Abstract
A reactive ion etch process that achieves high selectivity between SiO2 and photoresist (PR) and based on C4F8/H2 chemistry in an inductively coupled radio frequency plasma is developed. The process is accompanied by the formation of a fluorocarbon film, which defines key process characteristics. The SiO2 etching is described as a sum of two competing mechanisms: (i) an inhibition mechanism related to fluorocarbon film deposition and (ii) a defluorination mechanism, describing the diffusion of etching species to the CxFy/SiO2 interface. However, the photoresist etch rate is primarily determined by the inhibition mechanism. In order to achieve high SiO2/PR selectivity, both mechanisms are studied as functions of hydrogen admixture, pressure, gas residence time, and substrate temperature. This study reveals that depending on the superposition of the process parameters, one of the mechanisms can prevail over the other one, which significantly affects etch rates and selectivity. By adjusting the process parameters, a maximum selectivity between SiO2 and PR of 8 is achieved corresponding to a SiO2 etch rate of 200 nm/min. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
4. Intrinsically photosensitive polyimide photoresist and its double crosslinking mechanism.
- Author
-
Yang, Peng, Yu, Haiping, Zhu, Yuting, Liu, Xiaonuo, Liu, Pin, Wang, Xu, and Tang, Bo
- Subjects
- *
SULFONYL chlorides , *PHOTOLITHOGRAPHY , *PHOTORESISTS , *METHACRYLATES , *MONOMERS , *POLYIMIDES - Abstract
A new negative-working photoinitiator-free polyimide was developed for photolithographic processes. The polyimide introduced a photosensitive benzophenone unit into the backbone and a cross-linking group (methacrylate) into the side chains. A novel pre-functionalized diamine monomer was designed to avoid using corrosive sulfonyl chloride in the polyimide side-chain introduction step. Mechanistic studies revealed that benzophenone initiates various cross-linking reactions under i-line (UV 365 nm) irradiation, resulting in excellent photolithography performance of polyimide, with a resolution of 10 μm. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
5. Controlling the Dissolution Behavior of (Meth)acrylate-Based Photoresist Polymers in Tetramethylammonium Hydroxide by Introducing Adamantyl Groups.
- Author
-
Kim, Jinyoung, Lee, Choong-Jae, Lee, Dong-Gun, Lee, Geon-Ho, Hyeon, Jayoung, Choi, Yura, and Cho, Namchul
- Subjects
- *
POLYMER solutions , *PHOTORESISTS , *PHOTOLITHOGRAPHY , *FUNCTIONAL groups , *METHAMPHETAMINE - Abstract
(Meth)acrylate polymers are commonly used as photoresist materials in photolithography. However, these polymers encounter the problem of swelling during the development process. To address this, we explored the use of a hydrophobic group to control the solubility in the hydrophilic developer. In this study, we synthesized two types of polymers to evaluate the impact of the developer on (meth)acrylate polymers for photoresist applications. Adamantyl methacrylate (AdMA) was selected as the hydrophobic group, while 2-ethoxyethyl acrylate (2-EEA) served as the hydrophilic group, enabling the synthesis of both hydrophilic and hydrophobic polymers. Our goal was to assess how the presence of adamantyl monomers influenced the solubility of the polymer. This study demonstrated that solubility was primarily influenced by functional groups, particularly hydrophobic groups, rather than other factors. Polymers with more than 50% hydrophobic groups can be effectively controlled for their solubility in TMAH. These findings show that the solubility of photoresist polymers in TMAH can be tuned by incorporating a high proportion of hydrophobic groups. The study further confirms the role of adamantyl monomers as effective hydrophobic (aliphatic) groups in modulating the solubility of (meth)acrylate polymers in developer solutions. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
6. Reliable fabrication of 3D freestanding nanostructures via all dry stacking of incompatible photoresist.
- Author
-
Shu, Zhiwen, Liang, Huikang, Chen, Lei, Liu, Qing, Zeng, Pei, Zhou, Yuting, Wang, Quan, Fan, Fu, Zhou, Yu, Chen, Yiqin, Feng, Bo, and Duan, Huigao
- Subjects
- *
ELECTRON beam lithography , *CAVITY resonators , *PHOTORESISTS , *NANOELECTRONICS , *NANOSTRUCTURES - Abstract
Three-dimensional (3D) free-standing nanostructures based on electron-beam lithography (EBL) have potential applications in many fields with extremely high patterning resolution and design flexibility with direct writing. In numerous EBL processes designed for the creation of 3D structures, the multilayer resist system is pivotal due to its adaptability in design. Nevertheless, the compatibility of solvents between different layers of resists often restricts the variety of feasible multilayer combinations. This paper introduces an innovative approach to address the bottleneck issue by presenting a novel concept of multilayer resist dry stacking, which is facilitated by a near-zero adhesion strategy. The poly(methyl methacrylate) (PMMA) film is stacked onto the hydrogen silsesquioxane (HSQ) resist using a dry peel and release technique, effectively circumventing the issue of HSQ solubilization by PMMA solvents typically encountered during conventional spin-coating procedures. Simultaneously, a dry lift-off technique can be implemented by eschewing the use of organic solvents during the wet process. This pioneering method enables the fabrication of high-resolution 3D free-standing plasmonic nanostructures and intricate 3D free-standing nanostructures. Finally, this study presents a compelling proof of concept, showcasing the integration of 3D free-standing nanostructures, fabricated via the described technique, into the realm of Fabry–Perot cavity resonators, thereby highlighting their potential for practical applications. This approach is a promising candidate for arbitrary 3D free-standing nanostructure fabrication, which has potential applications in nanoplasmonics, nanoelectronics, and nanophotonics. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
7. Printing quantum dot color conversion layer in etch pits using EHD technology based on mini-LED.
- Author
-
Wang, Xinyi, Chen, Zhaoyu, Zhou, Haojie, Ji, Xiaoxiao, Yang, Zhu, Liu, Li, Yin, Luqiao, and Zhang, Jianhua
- Subjects
- *
SUBSTRATES (Materials science) , *QUANTUM dots , *QUANTUM efficiency , *PHOTORESISTS , *PHOTOLITHOGRAPHY - Abstract
The rapid development of display technologies has boosted the demand for efficient and high-resolution color conversion techniques. However, conventional approaches such as photolithography and inkjet printing are constrained by limitations in pixel size and material compatibility, making it difficult to meet the demands of industrialization. Due to the low luminescence efficiency of the red quantum dot (QD) material, an innovative quantum dot color conversion (QDCC) layer structure was proposed in this study. The red QD film was prepared in pixel pits below the glass surface using electrohydrodynamic inkjet printing, thus integrating the QD film into the glass substrate. This results in a more vivid and accurate full-color display. The results indicate that the fabricated QDCC layer achieves a pixel size of 216 × 116 μm2, with a maximum external quantum efficiency (EQE) of 5.81% and a luminance of 1 315 205 cd/m2. To improve the performance of the device, the transparent photoresist used for leveling between the LEDs was substituted with a black photoresist. Although the EQE changes to 3.93% and the luminance changes to 1 206 038 cd/m2, the color coordinates move closer to the red region, changing from (0.4396, 0.2089) to (0.4786, 0.2258). This innovative method significantly not only reduces the thickness and weight of the display but also improves its color performance. This research lays the foundation for high-performance displays, paving the way for ultra-thin and energy-efficient display technologies. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
8. Photoresist ashing at room temperature using a large-area, atmospheric-pressure plasma.
- Author
-
Bodner, Branden J., Froeter, Paul J., Kalonia, Kuldeep, and Mohan Sankaran, R.
- Subjects
ATMOSPHERIC pressure plasmas ,PLASMA sources ,PHOTORESISTS ,ARGON - Abstract
Photoresist ashing is one of the most common processing steps in semiconductor manufacturing. Conventional methods for photoresist ashing are wasteful, cause undesired damage, or lack the high throughput desired by industry. In this study, a large-area, atmospheric-pressure plasma source is studied for photoresist ashing. Etch rates of ∼200 nm/min are achieved using only argon and air, and no damage is introduced onto the underlying substrate. The ability to remove blanket and patterned photoresist films is demonstrated. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
9. Enhanced Magneto-Optical Kerr Effects in Micron Array Thin Films with Organic–Inorganic Interfaces.
- Author
-
Luo, Hao, Wang, Ziqi, He, Yufei, and Song, Yujun
- Subjects
KERR magneto-optical effect ,MAGNETIC films ,THIN films ,MAGNETOOPTICS ,PHOTORESISTS - Abstract
The modulation of the magneto-optical signal is a crucial research focus in the field of magneto-optics. Micron arrays of varying shapes were fabricated using the UV exposure technique, and their effects were tested on the magneto-optical Kerr response of CoFeB thin films. The influence of the organic–inorganic interface on the magneto-optical Kerr effect (MOKE) was investigated by utilizing organic materials to fabricate arrays. The results indicate that the micron-scale metal array exhibits a limited enhancement of the magneto-optical Kerr signal, but it can change the ferromagnetic strength and the magnetization speed of the magnetic layer. This is because the electron orbit coupling at the organic–inorganic interface enhances the performance of the magnetic film. When a photoresist is used as the array material, the longitudinal magneto-optical Kerr signal of the CoFeB film increases by at least two-fold. The enhancement effect of different shapes of arrays is different because of the different contact area with the CoFeB film. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
10. Highly Sensitive Cationic Photoresist for High‐Throughput Two‐Photon Nanofabrication.
- Author
-
Ma, Zhiyuan, Li, Tengxiao, Dai, Xiaoqiang, Shen, Xiaoming, Wang, Xiaobing, Fu, Huan, Xia, Xianmeng, Zhu, Qinyan, Zhu, Yinbo, Yu, Zhilong, Cao, Chun, You, Shangting, and Kuang, Cuifang
- Subjects
- *
NANOFABRICATION , *NANOMANUFACTURING , *PHOTORESISTS , *PHOTOSENSITIVITY , *LITHOGRAPHY - Abstract
Two‐photon lithography (TPL) is a powerful tool for 3D nanofabrication, however, high‐throughput TPL remains challenging, especially for cationic‐based photoresists. A novel cationic‐based photoresist named TP‐EO is developed for high‐throughput and high‐resolution TPL nanofabrication. High‐speed fabrication is achieved by using a bimolecular photosensitizer‐photo acid generator (PS‐PAG) pair that can effectively solve the photosensitivity bottleneck in cationic‐based photoresists. High‐resolution nanofabrication is achieved by limiting the photoacid diffusion via tuning the monomer's intra‐ and inter‐molecular stereo‐structure. The fabrication of 3D structures is demonstrated with fine features (<200 nm), fast writing speed (100 mm s−1), and low shrinkage, and showcased the rapid fabrication of centimeter‐scale nanodevices. TP‐EO photoresist shows outstanding TPL fabrication speed and resolution among cationic‐based photoresists, making it a promising solution for high‐throughput 3D nanomanufacturing. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
11. Multiple high-Q Brillouin zone folding guided mode resonances in all-dielectric metasurfaces.
- Author
-
Zhang, Ying, Wang, Lulu, Wang, Yiyuan, He, Haoxuan, Duan, Hong, Gao, Chenggui, You, Shaojun, Qiu, Mingquan, and Zhou, Chaobiao
- Subjects
- *
MIRROR symmetry , *BRILLOUIN zones , *MOMENTUM space , *THIN films , *PHOTORESISTS - Abstract
High quality (Q) factors guided mode resonances (GMRs) are important platform for enhancing light–matter interactions. Conventional GMRs are excited by embedding periodic nanoholes in planar thin films, where the size of the holes determines the Q-factors. These control methods are relatively limited. In this work, we study multiple high-Q band folding GMRs in the near-infrared region and explore their sensing characteristics. By constructing a nanohole dimer metasurface, five band folding ultrahigh-Q GMRs are formed and corresponding high-Q GMRs are obtained by changing the size of one nanohole to break the mirror symmetry of the structure and thus manipulate the energy radiation of the modes. These resonance modes exhibit greater stability in momentum space, and their excitation is not strictly dependent on perpendicularly incident light, which facilitates experimental testing. We fabricate a series of samples to confirm these high-Q GMRs, with experimental Q-factors reaching 5.0 × 103. Next, we investigate the sensing characteristics of these GMRs, and due to the significant differences in their field distributions, TM0 mode has the best sensing performance among the five modes. Here, by spin-coating photoresists on the surface of the devices, we examine their sensing properties. It is proved that the specificity of the eigenfield localization of TM0 mode results in an excellent performance of the sensing properties of this mode, with an experimental sensitivity and figure of merit of 124 nm/RIU and 105, respectively. This work provides a route for the realization of metasurfaces with high Q-factors, which has potential applications in nanophotonics. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
12. Polymerizable Nonionic Perfluorinated Photoacid Generators for High‐Resolution Lithography.
- Author
-
Liu, Yue, Wang, Dong, Wang, Qianqian, and Kang, Wenbing
- Subjects
- *
SULFONIC acids , *PHOTORESISTS , *ULTRAVIOLET radiation , *PHOTOLITHOGRAPHY , *LITHOGRAPHY - Abstract
Advanced photoresists must satisfy stringent sensitivity requirements while maintaining the ability to print ever‐shrinking critical dimensions. However, the unavoidable acid diffusion associated with chemically amplified photoresists has led to a trade‐off between resolution, line‐edge roughness, and sensitivity, which presents a significant challenge for high‐resolution lithography. To address this issue, a novel class of alkene‐functionalized nonionic perfluorinated photoacid generators (PAGs) is developed. These fluorine‐rich compounds significantly enhance the photochemical reactivity due to the introduction of abundant F‐elements, thereby improving sensitivity. Upon irradiation by ultraviolet light or electrons, they generate long‐chain perfluorinated sulfonic acids with large sizes and minimal diffusion ranges, effectively suppressing acid diffusion. Furthermore, by employing these polymerizable PAG monomers, PAG‐bound polymers are synthesized that are likely to achieve higher resolution by minimizing acid diffusion. Lithography performance demonstrated patterning of sub‐45 nm lines at an electron beam dose of 29 µC cm−2. Overall, the developed perfluorinated PAGs and PAG‐bound polymer photoresists are promising candidates for achieving high‐sensitivity and high‐resolution nano‐patterning. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
13. Effect of Photolithographic Biomimetic Surface Microstructure on Wettability and Droplet Evaporation Process.
- Author
-
Zhang, Zhihao and Yan, Yuying
- Subjects
- *
BIOMIMETICS , *SURFACE phenomenon , *HEAT transfer , *PHOTORESISTS , *BIONICS - Abstract
In nature, engineering technology and daily life, wetting phenomena are widespread and have essential roles and significance. Bionics is becoming increasingly important nowadays and exploring the mechanism that influences biomimetic surface microstructure on droplet wetting process and heat and mass transfer characteristics is becoming more meaningful. In this paper, based on photolithography technology, SU-8 photoresist was used as raw material to prepare biomimetic surfaces with microstructures in various arrangements. The research results show that the wettability of biomimetic functional surfaces can be regulated by regulating the shape and arrangement of photoresist micro-pillars. At the same time, the effects of surface microstructure configuration and roughness on the heat and mass transfer processes within the droplets were also comprehensively studied. The results show that a biomimetic surface with cylindrical micro-pillars can effectively inhibit the evaporative cooling effect of the liquid–vapour interface. This effect becomes more evident with the increase in roughness, and the interface temperature difference can be reduced by up to 18%. Similarly, the biomimetic surface with cylindrical micro-pillars can also effectively promote the evaporation rate of sessile droplets, which can be increased by about 13%. In addition, the research also shows that regardless of the structure, substrate temperature changes will significantly impact the wetting phenomenon of the biomimetic surface. This study aims to guide the optimal design of biomimetic surfaces prepared based on photoresistance. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
14. Novel approach for KrF chemically amplified resist optimization assisted by deep learning.
- Author
-
Tang, Chen, Tanaka, Toshiaki, Sekiguchi, Atsushi, Hirai, Yoshihiko, and Yasuda, Masaaki
- Subjects
CONTRAST sensitivity (Vision) ,PHOTORESISTS ,EDUCATIONAL outcomes ,INSTRUCTIONAL systems ,MONOMERS - Abstract
The development of chemically amplified resists requires many experiments to optimize the chemical composition, which includes the type of monomer molecules and their component ratios, initiator concentration, and process conditions. In addition, the optimization process requires extensive knowledge and experience. In this paper, we apply deep learning to predict the exposure properties, such as sensitivity and contrast, of KrF chemically amplified resists and to optimize the ratio of monomer components. The experimental data are used to predict photoresist development properties by deep learning using in-house code. To achieve this goal, we synthesized several photoresist resins with different proportions. Each resin was then used to prepare photoresist formulations, which were subsequently subjected to exposure and development testing under various energy conditions. Using the film thickness data obtained, we trained our deep learning system to more comprehensively predict the exposure and development curves of photoresists under different resin component conditions. The results of validation experiments showed that the predicted results were consistent with the experimental results, and the predictions for the exposure and development characteristics of different monomer component ratios were quite accurate, confirming that the deep learning outcomes possess high credibility and feasibility. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
15. Investigating pathways for deep-UV photolithography of large-area nanopost-based metasurfaces with high feature-size contrast.
- Author
-
Palatnick, Skyler, John, Demis, and Millar-Blanchaer, Maxwell
- Subjects
PHOTOLITHOGRAPHY ,PHOTORESISTS ,NANOFABRICATION ,LITHOGRAPHY ,OPTICS - Abstract
A major challenge in photolithography-based nanofabrication is the patterning of large features next to small features. However, such arrangements of features are often required by nanofabricated devices such as metasurfaces. Oftentimes, electron-beam lithography is utilized to circumvent this problem, but at the expense of cost, fabrication time, and limited write-area, which, thus, limits fabrication throughput. In this work, we detail an aperiodic design framework for metasurfaces that can achieve 2 π phase control in the near-infrared (NIR) and can be easily fabricated with deep-UV photolithography. We also explore several approaches by which we can achieve the fabrication of fixed pitch metasurfaces with a range of nanopost diameters as small as 220 nm and as large as 480 nm directly adjacent to one another by splitting each device into several photomask images based on a nanopost diameter and/or a pitch, a diluting photoresist developer, and tailoring photoresist thickness. We report on the effectiveness of each strategy individually and in combination with each other and characterize the optical behavior of metasurfaces fabricated with these techniques. Ultimately, we find that using a combination of all three strategies results in the best fabrication performance, allowing us to fabricate 1 mm 2 NIR metasurfaces that can achieve 2 π phase control within design tolerance specifications and can be scaled to large-area optics. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
16. Scalable fabrication approach and fill factor optimization for single pixel microlens arrays.
- Author
-
Bonitz, Jens, Helke, Christian, Dittmar, Nils, Schermer, Sebastian, Haase, Micha, Hofmann, Lutz, and Reuter, Danny
- Subjects
MICROLENSES ,LITHOGRAPHY ,PHOTORESISTS ,ETCHING ,IONS - Abstract
Microlenses are a suitable approach to improve the performance of optical systems. An important optical efficiency determining parameter is the fill factor, which describes the relation of the lens area to the total optical active area. In this work, an optimization of the fill factor by optimizing the fabrication process steps is presented. The approach here is the use of i-line waferstepper lithography in combination with thermal reflow of photoresist and subsequent 1:1 pattern transfer in the lens material by reactive ion etching. For this method, the fill factor is determined by the minimum lens gap and, thus, the optical efficiency is strongly limited by the resolution limit of the i-line waferstepper lithography (350 nm). The goal of this investigation is to achieve the lowest possible lens gap even below the stepper-based resolution limit by optimizing each single process step without developing a new approach. The final result of the optimization was a fill factor improvement of 15%. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
17. Development and Evaluation of Regolith Mass Estimation Sensor Based on Photoresist Effect.
- Author
-
Tkacz, Arkadiusz and Seweryn, Karol
- Subjects
GRANULAR materials ,PHOTORESISTORS ,SPACE exploration ,OPTOELECTRONICS ,PHOTORESISTS - Abstract
This paper presents the design, implementation, and laboratory validation of an optoelectronic-based mass estimation sensor for regolith sampling devices. The sensor integrates multiple photoresistors into the walls of a shovel of a sampling device, where the sensors detect varying levels of light occlusion caused by the deposited regolith. By analyzing the output signals from these photoresistors, the sensor estimates the mass of the sampled regolith. The device is designed to handle a typical sample mass range of 100–300 g. Laboratory tests demonstrated that the sensor can estimate the regolith mass with a relative error of approximately 23%, which is suitable for early-stage applications where rapid, non-invasive mass estimation is essential. The shown level of accuracy underscores the potential for further refining the calibration process, enhancing sensor sensitivity, and integrating multi-sensor approaches to improve performance. This conceptual study highlights the feasibility of using optoelectronic sensors for regolith mass estimation, paving the way for future innovations in ISRU missions and other granular material sampling applications. Future work will focus on the optimization of photoresistor placements, refining the calibration process, and enhancing sensor sensitivity to improve the accuracy of mass estimation. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
18. The change of the domain wall shape under the ion beam irradiation in lithium niobate.
- Author
-
Pashnina, Elena, Slautina, Alla, Akhmatkhanov, Andrey, Chuvakova, Maria, and Shur, Vladimir
- Subjects
FOCUSED ion beams ,ION beams ,PHOTORESISTS ,IRRADIATION ,PYRAMIDS - Abstract
In this paper, we present the study of the shape change on the polar surface and in the bulk of the walls of lamellar domains as a result of local switching by focused ion beam. Periodical lamellar domain structures (PDS) are created alternatively by two methods: (i) electric-field poling using photolithographically defined electrodes and (ii) ion beam poling. The dot irradiation of Z + areas near the walls of lamellar domains leads to the formation of faceted or rounded hexagonal domains. For e-field PDS additional formation of nanodomain ensembles was observed. We have revealed two types of domain wall shape changes induced by irradiation: (1) merging of the hexagonal domain with the domain wall for Z + areas; (2) formation of rounded distortion of the domain wall for Z
− areas. For Z + areas irradiation, the domain wall distortion was described by a simple model of independent growth of isolated domain with its subsequent merging with a static domain wall. For Z− surface irradiation, the domain wall shift increases linearly with the distance between the irradiation dot and the wall. It was revealed that the merging between the growing hexagonal pyramid domain and lamellar domain can be obtained in the bulk even for absence of merging at the surface. All obtained results have been explained within a kinetic approach to the domain wall motion by step generation. The switching field consists of inputs produced by: (i) the charges injected during dot irradiation into the photoresist layer and crystal bulk, (ii) the charges injected during the creation of i-beam PDS, (iii) the depolarization fields. The transition of the shapes of isolated domains and wall distortions from faceted to rounded ones with field increase was attributed to the transition from determined step generation to stochastic one. [ABSTRACT FROM AUTHOR]- Published
- 2025
- Full Text
- View/download PDF
19. Intrinsically Thermally Degradable Microstructures Fabricated by Photodimerization in Rapid 3D Laser Printing.
- Author
-
Gauci, Steven C., Somers, Paul, Aljuaid, Mohammed, Wegener, Martin, Barner‐Kowollik, Christopher, and Houck, Hannes A.
- Subjects
- *
LASER printing , *THREE-dimensional printing , *PHOTODIMERIZATION , *PHOTORESISTS , *PHOTOCYCLOADDITION - Abstract
Classical photoresists utilized in direct laser writing (DLW) rely on photoinitiators and radical polymerization mechanisms to induce the cross‐linking process. Herein, a simple initiator‐free photoresist is introduced that enables the rapid fabrication of intrinsically thermally degradable 3D microstructures via DLW. The reported photoresist exploits the [2 + 2] photo‐dimerization reaction of a multifunctional monosubstituted thiomaleimide compound while harvesting on‐demand microstructure degradation through the intrinsic thermally reversible nature of the photocrosslinks. The photoresist exceeds attainable DLW printing speeds for non‐chain growth resins, readily attaining 1500 µm s−1 and up to 5000 µm s−1, making it a promising system to compete with traditional photo‐initiator containing resists while introducing on‐demand post‐printing degradability. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
20. Swelling Behavior of Acrylate-Based Photoresist Polymers Containing Cycloaliphatic Groups of Various Sizes.
- Author
-
Lee, Choong-Jae, Kim, Jinyoung, Lee, Geon-Ho, Hyeon, Jayoung, Choi, Yura, and Cho, Namchul
- Subjects
- *
MOLECULAR volume , *FUNCTIONAL groups , *THERMAL properties , *PHOTORESISTS , *THERMAL resistance - Abstract
Photoresist polymers containing cycloaliphatic acrylic monomers have been synthesized for use in the microcircuits of semiconductors. Although cycloaliphatic acrylic monomers exhibit a high etch resistance and excellent thermal properties, their large size increases the distance between the main chains of the resulting polymers. This increased distance facilitates the penetration of a developer between the main chains, which leads to swelling and thus pattern collapse, distortion, and delamination, thereby complicating the fabrication of microcircuits. To solve this problem, various large developers were used in previous studies to reduce the swelling effect. However, these developers could not easily dissolve the unexposed regions of the resist. To overcome this issue, we designed photoresist polymers with smaller functional groups to decrease the degree of swelling. Specifically, ArF photoresist polymers were synthesized from monomers with various sizes of functional groups. We confirmed that the polymer synthesized using cyclohexyl methacrylate (CHMA), which had the smallest functional group, exhibited the shortest distance between the main chains. Consequently, this polymer showed the least swelling, with a swelling ratio of 109%. In contrast, the polymers synthesized using isobornyl acrylate (IBOA) and dicyclopentanyl methacrylate (TCDMA), which have large functional groups, exhibited greater distances between the main chains, resulting in swelling ratios of 114% and 112%, respectively. The polymer with a swelling ratio of 109% showed excellent patterning properties, while those with swelling ratios of 114% and 112% were delaminated by the developer. Our work introduces a novel approach to help reduce the swelling effect and achieve high-quality patterns in negative photoresists. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
21. Novel low‐temperature curable photosensitive polyimides with stable storage.
- Author
-
Shi, Shun, Zhang, Chunhua, Ma, Jiaojiao, Yang, Zhenghui, and Guo, Haiquan
- Subjects
SCANNING electron microscopes ,PHOTORESISTS ,POLYIMIDES ,CURING ,SEMICONDUCTORS - Abstract
In this work, varieties of thermal base generators with nitrogen heterocyclic bases as curing catalysts were synthesized and further blended with photo‐crosslinking agents, and photoinitiators to achieve the research goal of the low‐temperature curable negative photosensitive polyimide (n‐LTPI) photoresist. Due to the addition of thermal base generators, the curing temperature was reduced to 200 °C. Adding 2% 1,8‐diazabicyclo[5.4.0]undec‐7‐ene (DBU) pyromellitic acid salt to the photoresist can completely iminate at 200 °C. Through field‐emission scanning electron microscope analysis, the film produced high‐quality photo‐patterns with line and via resolution of 2–5 μm at 5–6 μm film thickness. Compared with existing technologies, our article not only achieves low‐temperature curing of photoresists but also improved storage stability, which has great practical value in the field of advanced semiconductor packaging. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
22. GaInN‐Based Blue LED with a PEDOT/PSS Hole Transport Layer.
- Author
-
Kato, Yuma, Iwaya, Motoaki, Takeuchi, Tetsuya, and Kamiyama, Satoshi
- Subjects
- *
POLYMER light emitting diodes , *CONDUCTING polymers , *THRESHOLD voltage , *SUBSTRATES (Materials science) , *PHOTORESISTS - Abstract
In this study, a nitride‐based blue PEDOT‐LED is fabricated and a preliminary assessment of the device characteristics is done. To prevent detaching of the PEDOT/PSS layer from the LED substrate when immersing in water or developing solution, Ag was deposited on top of the PEDOT/PSS layer. Additionally, exfoliation is suppressed by reducing the developing time and protecting the wafer edge with a photoresist. Different etching methods for Ag and PEDOT/PSS are investigated. The Ar ion‐beam etching resulted in uniform and flat‐etched surfaces. Regarding the performance of the PEDOT‐LEDs, a high Mg‐doping concentration of 1.0 × 1020 cm−2 leads to a relatively low threshold current voltage in the V–I characteristics. However, for the PEDOT‐LED with p‐Al0.25Ga0.75 N EBL, a steep light output saturation is observed at high current density when analyzing the L–I characteristics. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
23. Subdiffraction‐Limited Motheye‐Like Metastructures Fabrication by Dual‐Beam Overexposure Methodology Enhancing Broadband Infrared Antireflective Application.
- Author
-
Ran, Peng, Wang, Qingsong, Wang, Jiong, Chen, Wenbo, Zhao, Zeyu, Ma, Xiaoliang, Li, Xiong, Pu, Mingbo, and Luo, Xiangang
- Subjects
- *
CAMOUFLAGE (Military science) , *ION bombardment , *SULFUR hexafluoride , *LITHOGRAPHY , *PHOTORESISTS - Abstract
Materials possessing broadband antireflective properties benefit applications of military camouflage, photovoltaic devices, and highly transparent windows. In this work, large area, high throughput subdiffraction‐limited motheye‐like metastructures featuring broadband infrared (3–12 µm) antireflectivity are realized through dual‐beam overexposure shrinking strategy and subsequent controllable anisotropic reactive‐ion‐etching process. Both overexposure and overdevelopment processes severely controlled in conventional lithography processes, attribute significantly to improving linewidth resolution to <150 nm when photoresist thickness exceeding 500 nm, even to one micron. For antireflective application, the motheye profiles with customized anisotropic ratio (>3.27) and tunable taper‐angle range (>12.2°) are also realized by regulating the competition of directional ion bombardment and isotropic chemical etching in sulfur hexafluoride (SF6) plasma. The corresponding reflectivity is theoretically well‐simulated and experimentally validated after accounting for the tunable profiles and fractional volume coverage of motheye‐like structures. A low reflectivity <2.0% with the uniformity of ±4.8% and averaged to 1.2% across the overall spectrum of 3–12 µm are achieved. Implementing such efficient shrinking approach can possess huge potential in applications of military camouflage, photovoltaic devices, and highly transparent windows. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
24. Formation Mechanism and Prevention of Cu Undercut Defects in the Photoresist Stripping Process of MoNb/Cu Stacked Electrodes.
- Author
-
Liu, Dan, Fang, Liang, Huang, Zhonghao, Ruan, Haibo, Chen, Wenxiang, Xiang, Jing, Wu, Fang, and Liu, Gaobin
- Subjects
- *
COPPER , *CORROSION potential , *PHOTORESISTS , *TRANSISTORS , *ANODES - Abstract
The Cu undercut is a recently discovered new defect generated in the wet stripping process of MoNb/Cu gate stacked electrodes for thin-film transistors (TFTs). The formation mechanism and preventive strategy of this defect were identified and investigated in this paper. The impact of stripper concentration and stripping times on the morphology and the corrosion potential (Ecorr) of Cu and MoNb were studied. It is observed that the undercut is Cu tip-deficient, not the theoretical MoNb indentation, and the undercut becomes severer with the increase in stripping times. The in-depth mechanism analysis revealed that the abnormal Cu undercut was not ascribed to the galvanic corrosion between MoNb and Cu but to the local crevice corrosion caused by the corrosive medium intruding along the MoNb/Cu interface. Based on this newly found knowledge, three possible prevention schemes (MoNiTi (abbreviated as Mo technology development (MTD) layer/Cu), MoNb/Cu/MTD, and MoNb/Cu/MoNb) were proposed. The experimental validation shows that the Cu undercut can only be completely eliminated in the MoNb/Cu/MTD triple-stacked structure with the top MTD layer as a sacrificial anode. This work provides an effective and economical method to avoid the Cu undercut defect. The obtained results can help ensure TFT yield and improve the performance of TFT devices. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
25. Etch characteristics of maskless oxide/nitride/oxide/nitride (ONON) stacked structure using C4H2F6-based gas.
- Author
-
Cho, Nam Il, Hong, Jong Woo, Yoo, Hee Jin, Eoh, Hyeong Joon, Kim, Chan Ho, Jeong, Jun Won, Kim, Kyung Lim, Kwak, Jung Hun, Cho, Yong Jun, Kim, Dong Woo, and Yeom, Geun Young
- Subjects
- *
CELL anatomy , *ETCHING , *NITRIDES , *PASSIVATION , *PHOTORESISTS - Abstract
Oxide/Nitride/Oxide/Nitride (ONON; SiO2/SiNx/SiO2/SiNx) stacked structure is widely used in the 3D vertical structure of semiconductor cells. Previously, to form a 3D cells, photoresist (PR) was patterned and repeatedly trimmed on the top of ONON after the etching of one ON layer. Due to the time-consuming process of etching layer-by-layer of ON layer, two-step etch processing using C4F8-based or C4F6-based gases composed of maskless ONON stack feature etching and followed one ON layer-by layer etching by PR trimming in the ONON stack feature are employed these days. However, the two-step etching method resulted in poor etch profiles of maskless ONON stack feature in addition to high global warming potential of C4F8 and C4F6. In this study, we investigated the etching of maskless ONON stack feature using C4H2F6-based gas having a low global warming potential and the effects of C4H2F6-based gas on the etch characteristics of maskless ONON stack feature such as etch rate, etch profile, change in critical dimensional (CD), and etch selectivity between SiO2 and SiNx have been investigated. C4H2F6-based gas showed the highest etch rates compared to C4F6 and C4F8-based gases in addition to the etch selectivity of ~ 1:1 between SiO2 and SiNx due to hydrogen included in the gas structure. In addition, the change in horizontal CD was lower in the order of C4H2F6, C4F6, and C4F8-based gases due to the more effective sidewall passivation in the order of C4F8, C4F6, and C4H2F6-based gases. The thicker carbon-based polymer layer on the sidewall also played an important role in maintaining the shape of the top edge shape of maskless ONON stack feature when etching a line feature in an environment without a mask. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
26. Self‐Encapsulated N‐Type Semiconducting Photoresist Toward Complementary Organic Electronics.
- Author
-
Zhao, Weiyu, Chen, Renzhong, Zhao, Lingli, Zhang, Shen, Wang, Xuejun, Chen, Huajie, Liu, Yunqi, and Wei, Dacheng
- Subjects
- *
ORGANIC electronics , *SEMICONDUCTOR films , *PHOTOLITHOGRAPHY , *PHOTORESISTS , *WEATHER - Abstract
Semiconducting photoresists hold great promise for scale‐up manufacturing of organic field‐effect transistors (OFETs) for integrated organic electronics. While photolithographic p‐type OFETs have achieved a considerable balance among patterning precision, electrical properties and process stability, it remains challenging for n‐type OFETs due to the inherent limited mobility and ambient instability. Herein, a n‐type semiconducting photoresist (SPr) is developed that is compatible with photolithography procedures. By utilizing the solvent‐driven force, a self‐encapsulated blend film with gradient semiconductor phase is prepared, where the underneath transistor active layer is protected by the upper cross‐linked network, avoiding solvent erosion and air doping. As such, a mobility up to 1.1 cm2 V−1 s−1 that is comparable with amorphous Si is achieved, with remained mobility by ≈90% after long‐term exposure to developer and stripper or atmospheric conditions. The sub‐micrometer patterning accuracy of SPr enables the fabrication of organic transistor arrays with a density of 9 × 105 units cm−1, which is comparable to other state‐of‐the‐art devices fabricated by the printing or photolithography, demonstrating immense potential in integrated organic electronics. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
27. Recent Works on Direct-Write Digital Holography.
- Author
-
Bakanas, R., Nikolskij, A., Bulanovs, A., and Brotherton-Ratcliffe, D.
- Subjects
- *
DIFFRACTION patterns , *MASS production , *GRAPHICS processing units , *PRINTMAKING , *PHOTORESISTS , *HOLOGRAPHY - Abstract
Direct-write digital holographic (DWDH) printing is a highly flexible technique for the generation of photoresist masters, which are required to produce the metallic shims used for the mass production of holograms in the security and packaging industries. Here we describe a new type of holographic feature, which can be combined with any other feature printable using DWDH: full-parallax, full-colour transmission masters containing limited animation. We will also describe a technique to print the fringe pattern of each hogel without using a reference beam. By programming the required fringe calculation algorithm on a graphics card using CUDA, we obtain acceptable calculation times. The advantage of using such direct fringe writing is that once again DWDH allows extra features to be written onto a master and combined with other features to produce a stronger security solution. Finally, we present results concerning the use of hogel image dithering to improve the grey-scale performance of DWDH printers. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
28. Quantum Dots Photoresist for Direct Photolithography Patterning.
- Author
-
Gao, Zhiyuan, Shi, Jianbing, and Yang, Gaoling
- Subjects
- *
QUANTUM dots , *LED displays , *PHOTOLITHOGRAPHY , *PHOTORESISTS , *OPTICAL properties - Abstract
Quantum dots (QDs) have become the most valuable luminescent materials due to their excellent optical properties, such as high color purity, high photoluminescence quantum yield (PLQY), and tunable luminescence spectra. QDs‐based display devices have been used commercially and have shown outstanding advantages such as wide color gamut, high brightness, etc. However, for high‐end displays such as micro‐light‐emitting diodes (Micro‐LED), fine precise patterning of QDs is still a prerequisite and key challenge. Recently, direct photolithography, a method based on photochemical reactions of QDs photoresist (QDPR), has been considered as the most potential patterning technology to achieve high resolution and high‐throughput. This review focuses on the recent progress of QDPR from the point of view of different photochemical reaction mechanisms: starting the monomer polymerization, followed by the ligand crosslinking or decomposition, and eventually introducing crosslinking additives. Furthermore, a comprehensive overview of the current applications of QDPR in displays is provided based on the different types of LED devices. Finally, existing problems in QDs direct photolithography are discussed, along with possible reasons and solutions. This review is expected to accelerate the development of direct photolithography patterning method and provide general guidance for the further design of QDPR for high‐end displays. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
29. Single‐Component High‐Resolution Dual‐Tone EUV Photoresists Based on Precision Self‐Immolative Polymers.
- Author
-
Cen, Jie, Liu, Wen, Xu, Jie, Wang, Xiuxia, Zhang, Jialin, Zhang, Jin, Deng, Zhengyu, Zhou, Chenggang, Hu, Jinming, and Liu, Shiyong
- Subjects
- *
EXTREME ultraviolet lithography , *ELECTRON beam lithography , *PHOTORESISTS , *SEMICONDUCTOR devices , *EXPOSURE dose - Abstract
Electron beam (EB) and extreme ultraviolet (EUV) lithography are advanced techniques capable of achieving sub‐10 nm resolutions, critical for fabricating next‐generation nanostructures and semiconductor devices. However, developing EUV photoresists that meet all demands for resolution, line edge roughness (LER), and sensitivity (RLS) remains a significant challenge. Herein, we introduce high‐performance photoresists based on single‐component self‐immolative polymers (SIPs) with inherent signal amplification via cascade degradation. These SIPs function as dual‐tone photoresists under both EB and EUV lithography, with performance primarily determined by the exposure dose. Lithographic evaluations show that discrete SIPs provide significant improvements over disperse counterparts, achieving higher resolution and reduced LER. Specifically, a discrete SIP with a DP of 12 produces a line‐space pattern with a resolution of approximately 18 nm and an LER of 1.8 nm, compared to 21 nm resolution and 2.5 nm LER for disperse SIPs. Additionally, these SIP‐based photoresists, enriched with aromatic structures, exhibit excellent etch resistance. The single‐component nature and potential to address the RLS trade‐off underscore the promise of discrete SIPs for EUV lithography. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
30. Fabrication of hollow silicon microneedles using grayscale lithography and deep reactive ion etching.
- Author
-
Zhang, Dong, Hu, Wenhan, and Cui, Bo
- Subjects
DRUG delivery systems ,GRAYSCALE model ,LITHOGRAPHY ,PHOTORESISTS ,ETCHING - Abstract
Microneedles are a promising technology for drug delivery. They are minimally invasive, painless, and easy to use for various applications. In this paper, a process for fabricating hollow microneedles on a silicon substrate using maskless direct writing grayscale lithography and deep reactive ion etching (DRIE) is investigated. During the process, two approaches are employed to smoothen the needle tip and reduce the roughness of the needle bevel. First, a grayscale lithography process is used to create a 3D photoresist structure with discrete height steps. This structure is then transferred into silicon using DRIE. An SF6 isotropic etching process is utilized to create a smooth surface on the upper portion of microneedles by smoothing out the steplike structures. Alternatively, after grayscale lithography, resist thermal reflow is used to smooth out the resist structures. The resist patterns are then transferred into silicon microneedles using the DRIE technique. The morphology of the microneedles can be adjusted by varying the etching selectivity of silicon over the resist. Hollow microneedles with a height of 360 μm are produced, which offers numerous practical applications. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
31. Enhanced lift-off using toluene and chlorobenzene for a single-step positive AZ photoresist process-flow.
- Author
-
Predanocy, Martin, Nemec, Pavol, Škriniarová, Jaroslava, Andok, Robert, and Hrkút, Pavol
- Subjects
- *
PHOTORESISTS , *PHOTOLITHOGRAPHY , *CHLOROBENZENE , *METALS , *IRRADIATION - Abstract
In this article, we present a process that enables the use of the lift-off metallization technique with ultraviolet irradiation of one layer of photoresist type AZ® 5214E and 4562. Different thickness of photoresist layer was prepared and various time of soaking to toluene and chlorobenzene were considered. The described process consists of soaking the photoresist layer for a certain period of time in either chlorobenzene or toluene before resist's exposure. After developing, resist profiles with overhangs suitable for shaping the metal layer can be obtained well such as the lift-off process. We describe here possible benefits and disadvantages of using maskless photolithography with selected photoresists in connection with curing top layer of photoresist. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
32. Development of a new type of highly effective etchant solution for glue residue in wafer-level packaging process.
- Author
-
Kim, Ha-Yeong, Jekal, Suk, Chu, Yeon-Ryong, Lim, Jisu, Kim, Jiwon, Noh, Jungchul, Sung Lee, Hwa, Otgonbayar, Zambaga, and Yoon, Chang-Min
- Subjects
PHOTORESISTS ,SEMICONDUCTOR wafers ,WAFER level packaging ,ETCHING ,POLYDIMETHYLSILOXANE - Abstract
[Display omitted] We synthesize an etchant solution designed to remove polydimethylsiloxane (PDMS) residues from semiconductor wafers during wafer-to-wafer processing in advanced semiconductor packaging (AVP). The etchant solution is produced by combining hexane, a nonpolar swelling solvent, with tetrabutylammonium fluoride (TBAF), a fluorine-based compound, to enhance PDMS etching. We evaluate the etching rate of PDMS using various solvent mixtures, including 1-vinyl-2-pyrrolidone, 1-methyl-2-pyrrolidone, 1-ethyl-2-pyrrolidone, and 1-octyl-2-pyrrolidone (NOP), with different concentrations of TBAF (1.0–5.0 wt%). Notably, NOP, which contains octyl groups, demonstrates the highest PDMS etching rate, particularly when combined with 70.0 wt% hexane. The optimized solution, F3-NOP/H70, achieves an etching rate of 85.7 μm/min. Further testing confirms that the F3-NOP/H70 solution effectively removes PDMS residues from wafer surfaces without damaging dielectric layers, such as the photosolder resist and photosensitive polyimide. These results indicate that the F3-NOP/H70 solution efficiently dissolves and removes PDMS residues and preserves the integrity of adjacent wafer components, making it a promising candidate for AVP applications. This study emphasizes the importance of selecting appropriate solvent systems for residue removal in semiconductor manufacturing and offers a practical solution to enhance device quality and reliability. This approach can potentially be applied at various stages of semiconductor processing, where residue management is essential. [ABSTRACT FROM AUTHOR]
- Published
- 2025
- Full Text
- View/download PDF
33. Enhancing the precision of 3D sidewall measurements of photoresist using atomic force microscopy with a tip-tilting technique.
- Author
-
Kizu, Ryosuke, Misumi, Ichiko, Hirai, Akiko, and Gonda, Satoshi
- Subjects
- *
ATOMIC force microscopy techniques , *ATOMIC force microscopy , *PHOTORESISTS , *LASER interferometers , *SCANNING electron microscopy - Abstract
A key issue associated with advanced lithography techniques for semiconductor-device manufacturing is the reduction in the sidewall roughness of photoresist line patterns, known as line-edge roughness (LER). We have developed a technique for measuring the sidewall of the resist pattern using atomic force microscopy (AFM) that enables three-dimensional (3D), high-resolution, low-noise, and nondestructive measurements. Conventional LER measurement technology using scanning electron microscopy (SEM) causes shrinkage of the resist pattern due to electron-beam (EB) exposure, whereas our new AFM technique can in principle avoid EB-induced shrinkage. This AFM technology is capable of 3D measurements because it employs a tip-tilting mechanism that enables the sharp AFM tip to scan the vertical sidewalls, which is difficult for a conventional AFM technique. In addition, laser interferometers are equipped for the measurement of the AFM tip displacement, which yields high-resolution, high-accuracy, and low-noise results. This technology overcomes issues such as low resolution, noise, and destructive measurements that afflict conventional SEM measurements. In addition, it enables observations and quantitative analyses of the 3D sidewall roughness. For example, in the present experiment, we observed that grain shapes (several tens of nm in size) were formed randomly on the resist sidewall and that there were almost no footing shapes. By analyzing the sidewall profiles with a height resolution of 1 nm, we obtain the roughness (self-affine fractal) parameters at each height. This AFM-based resist sidewall measurement technique can, thus, provide important insights into resist patterning and related process technologies for next-generation semiconductor-device manufacturing. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
34. Introducing Photo‐Cross‐Linkable Functionalities on P(VDF‐co‐TrFE) Ferroelectric Copolymer.
- Author
-
Kallitsis, Konstantinos, Alvarez‐Fernandez, Alberto, Cloutet, Eric, Brochon, C., and Hadziioannou, G.
- Subjects
- *
CONDUCTING polymers , *FLUOROPOLYMERS , *GRAFT copolymers , *ELECTRONIC equipment , *PHOTORESISTS , *FERROELECTRIC polymers - Abstract
Ferroelectric polymers have emerged as crucial materials for the development of advanced organic electronic devices. Their recent high‐end commercial applications as fingerprint sensors have only increased the amount of scientific interest around them. Despite an ever‐larger body of studies focusing on optimizing the properties of ferroelectric polymers by physical means (e. g. annealing, stretching, blending or nano‐structuring), post‐polymerization chemical modification of such polymers has only recently become a field of active study with great promise in expanding the scope of those polymers. In this work, a solution‐based post‐polymerization modification method was developed for the safe and facile grafting of a plethora of functional groups to the backbone of commercially available Poly(vinylidene fluoride‐co‐trifluoroethylene P(VDF‐co‐TrFE) ferroelectric polymers. To showcase the versatility of this approach, photosensitive groups were grafted onto the polymeric backbone, enabling them to undergo photo‐cross‐linking. Finally, these modified polymers were used as functional negative photoresists in a photolithographic process, highlighting the potential of this method to integrate ferroelectric fluorinated electroactive polymers into standard electronic microfabrication production lines. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
35. Development and Fabrication of Microdosimeter Arrays Based on Single‐Crystal Diamond Schottky Diodes.
- Author
-
Verona, Claudio, Verona Rinati, Gianluca, Schettino, Giuseppe, and Parisi, Gabriele
- Subjects
- *
DIAMONDS , *DOSIMETERS , *INSULATING materials , *SCHOTTKY barrier diodes , *MICRODOSIMETRY , *PHOTORESISTS , *DETECTORS - Abstract
The interest in microdosimetry is growing thanks to the advancement in microdosimetric technologies, improving detector performance and reliability. Herein, the fabrication and characterization of a novel diamond‐based microdosimeter are proposed. The microdosimeter consists of an array of single‐crystal diamond Schottky diodes about 1.5 μm thick connected in parallel. The detector prototypes are characterized using the ion beam‐induced charge technique, employing a 6 MeV carbon ions microbeam. Despite a good overall response, the first prototypes are affected by the "bridge effect": a charge collection beneath the metallic bridges connecting the sensitive volumes (SVs), which alters the energy deposition spectrum. To mitigate the bridge effect, different technological solutions are explored: the selective growth of intrinsic diamond layers and the use of an insulating material such as photoresist. These second prototypes reveal a good SV spatial definition without any charge collection from the bridges and a good response homogeneity within the SVs ranging between 3% and 5% full‐width‐half‐maximum among the different prototypes. While the cell‐like thickness and lateral dimensions of SVs make the diamond microdosimeter array ideal for radiobiological applications, its array configuration can make it highly versatile to perform under different fluence rate conditions in particle therapy. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
36. A study on chromium thin film with positive photoresist as a masking layer towards the wet bulk micromachining of Borofloat glass.
- Author
-
Sahu, Vishal, Dewangan, Priyanka, Vardhan, Robbi Vivek, Rinfela, Vanlal, Menon, P. Krishna, and Pal, Prem
- Subjects
THIN films ,PHOTORESISTS ,MICROMACHINING ,MAGNETRON sputtering ,SPIN coating ,CHROMIUM ,METALLIC glasses ,GLASS - Abstract
Bulk micromachining is commonly used to fabricate microstructures such as deep cavities, through-holes, and microchannels in glass wafers, which have diverse applications in the areas of science and technology. The methods for glass bulk micromachining include mechanical, dry, and wet etching; among them, wet etching is widely used due to its multifaceted advantages. Masking layer plays an eminent role in wet etching. In the current study, Cr thin film combined with positive photoresist (AZ1512HS) is investigated as the masking layer to develop deep cavities in Borofloat glass wafers via wet etching route. Initially, DC magnetron sputtered Cr thin film is deposited at room temperature, 200 °C, and 400 °C, respectively, on three different glass wafers, followed by spin coating of photoresist on it. Photolithography process is used for patterning, and then selective etching of Cr is performed. Thereafter, wet etching of glass wafers is executed in 10% hydrofluoric acid (HF) solution. This work shows that the sustainability of the masking layer is highly dependent on the deposition temperature of Cr thin film, and the sustainability increases with the increase in the deposition temperature. The high temperature (400 °C) deposited Cr thin film along with photoresist exhibits superior sustainability as a masking layer, and it relatively provides a longer etch time of 380 min, excellent etch depth of ~ 245 µm with negligible surface defects and well-defined structures on glass wafer when etched in 10% HF solution. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
37. Pitch conversion by photoresist expansion transfer for micro LED.
- Author
-
Min, Jae Sik, Lee, Jae Yeop, Park, Jae Suk, and Cho, Byoung Gu
- Subjects
- *
PHOTORESISTS , *LED displays , *TECHNOLOGY transfer , *LIGHT emitting diodes - Abstract
Micro LED is an extremely small‐sized LED where each individual LED operates independently, providing high brightness, excellent contrast ratio, wide color gamut, and other outstanding visual characteristics. We have developed the transfer technology for micro LED chips using our proprietary PR (photoresist) expansion technique. This exclusive PR expansion technology, leveraging semiconductor photo processes, enables pitch conversion between micro LED chips and maintains a high level of accuracy in chip transfer at a sub‐micron scale. This innovative transfer technology allows for high‐density integration of micro LED chips, effectively reducing the cost per chip, and serving as a key driver for rapid growth in the micro LED market. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
38. 具有双重显影特性的多用途单分子树脂化学放大光刻胶.
- Author
-
苑晓冬, 陈金平, 于天君, 曾 毅, and 李 嫕
- Subjects
- *
ELECTRON beam lithography , *PHOTOLITHOGRAPHY , *PHOTORESISTS , *QUANTUM efficiency , *LITHOGRAPHY - Abstract
Chemically amplified photoresists (CARs) are widely used in photolithography due to their excellent performance in resolution and sensitivity. This paper reports a CAR (SP8-PAGAN) based on molecule glass of SP8-Boc and photo-acid generator of N-hydroxytrifluoromethylsulfonate anthracene-1, 9-dicarboxyimide. The SP8-PAGAN photoresist can be used for both 365 nm lithography and electron beam lithography (EBL). The quantum efficiency of acid generation (ΦH+) for the PAGAN is 23% under 365 nm excitation. 1 μm positive and negative lithographic patterns can be achieved with SP8-PAGAN photoresist by 365 nm lithography using tetramethylammonium hydroxide (TMAH, 2. 38%) aqueous and n-hexane as developers, respectively. A positive 50 nm Line/Space (L/S) dense line pattern (dose 110 µC/cm²), a 32 nm L/S negative dense line pattern (dose 40 µC/cm²), and a 19 nm L/3S negative semi-dense line pattern (dose 96 µC/cm²) were achieved by EBL. This study provides a new example of a dual-tone CAR for multi-purpose lithography. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
39. Patterning luminescent and stable perovskite-acrylic polymer composites via a convenient strategy.
- Author
-
Guo, Jinxin, Wang, Jing, Chen, Shuang, Tong, Peige, Liu, Yifei, Zhang, Yiwei, and Zhang, Xinping
- Subjects
SOLAR cells ,LIGHT emitting diodes ,PEROVSKITE ,PHOTORESISTS ,PHOTODIODES - Abstract
Perovskite semiconductors have achieved great success during the last decades in the application of solar cells, light-emitting diodes, and photodiodes. Developing strategies to pattern perovskites with high-resolution is crucial to broaden the application scenarios of perovskite displays and on-chip lighting. However, the widely used lithography procedure has the problem of high-cost and complexity. In this study, we demonstrate a method to pattern a luminescent perovskite-acrylic polymer composite that is prepared by direct injection at room temperature. This strategy uses standard photoresists and UV lithography at room temperature, and then the pattern in the photoresists can be easily transferred to the perovskite-acrylic polymer composite. Finally, we can obtain high-quality micron-scale features. Furthermore, we demonstrate the universality of this strategy by adapting perovskite with different color emissions into the composite and patterning it using the same procedure. Another advantage of this patternable perovskite-acrylic polymer composite is its superb water-repellent properties, which are believed to be of great potential in underwater applications. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
40. Comparative study on obtaining paper and thread-based microfluidics via simple fabrication techniques.
- Author
-
Okutan Arslan, Nagihan, Helmy Atta, Ragheid Mohammed, and Trabzon, Levent
- Subjects
MICROFLUIDICS ,FABRICATION (Manufacturing) ,SOLVENTS ,BEESWAX ,PHOTORESISTS - Abstract
Microfluidic paper-based analytical devices (μPADs) and microfluidic thread-based analytical devices (μTADs) have recently been introduced as a new class of on-site monitoring devices. Creating hydrophilic channels with hydrophobic barriers on papers/threads produces μPADs/μTADs. Fabrication is a crucial step in creating durable μPADs/μTADs that can withstand various liquids and impact the device's performance. Fabrication materials with distinct physicochemical properties allow microfluidic systems with sophisticated functions to be customized for specific applications. We present flexible and low-cost fabrication methods for μPAD and μTAD platforms. Platform designs and fabrications were implemented using a trial-and-error method for various designs with varying parameters. All production methods presented in the method section were used in |PAD production. For comparison studies, only the dipping method was used in μTAD production due to its ease of application. In this study, we tried to reveal the strengths and weaknesses of the production techniques and the resulting microfluidic platforms. A leaching test was performed with water solutions containing red ink. The compatibility of the hydrophobic walls of the platforms was tested with several solvents (isopropanol, methanol, and acetone), deionized (DI) water, and phosphate buffer solution PBS and compared. Patterning paper with polydimethylsiloxane (PDMS), white glue, alkyl ketene dimer (AKD), beeswax, and paraffin are much more flexible and simpler than traditional photoresist-based fabrications. The advantages and disadvantages of fabrication techniques; solvent resistance and wicking behaviors of platforms were discussed in the last part. The fabricated microfluidic platforms can be functionalized and used in many areas where analytical tests are applied. Studies on diversifying channel geometries and increasing resolution need to be continued. It should be investigated which devices can be used to obtain qualitative and quantitative results. To make simple and cheap production techniques suitable for mass production, studies should be carried out from different branches. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
41. Optimization of Grayscale Lithography for the Fabrication of Flat Diffractive Infrared Lenses on Silicon Wafers.
- Author
-
Bouchouri, Angelos, Akram, Muhammad Nadeem, Øhlckers, Per Alfred, and Chen, Xuyuan
- Subjects
FRESNEL lenses ,GRAYSCALE model ,SILICON wafers ,SURFACE topography ,PHOTORESISTS - Abstract
Grayscale lithography (GSL) is an alternative approach to the standard binary lithography in MEMS fabrication, enabling the fabrication of complicated, arbitrary 3D structures on a wafer without the need for multiple masks and exposure steps. Despite its advantages, GSL's effectiveness is highly dependent on controlled lab conditions, equipment consistency, and finely tuned photoresist (PR) exposure and etching processes. This works presents a thorough investigation of the challenges of GSL for silicon (Si) wafers and presents a detailed approach on how to minimize fabrication inaccuracies, aiming to replicate the intended design as closely as possible. Utilizing a maskless laser writer, all aspects of the GSL are analyzed, from photoresist exposure parameters to Si etching conditions. A practical application of GSL is demonstrated in the fabrication of 4-μm-deep f #/1 Si Fresnel lenses for long-wave infrared (LWIR) imaging (8–12 μm). The surface topography of a Fresnel lens is a good case to apply GSL, as it has varying shapes and size features that need to be preserved. The final fabricated lens profiles show a good match with the initial design, and demonstrate successful etching of coarse and fine features, and demonstrative images taken with an LWIR camera. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
42. Dual One and Two‐Photon Solvent‐Free Hybrid Photoresist for High‐Resolution and Grayscale 3D Microprinting.
- Author
-
Chía Gómez, Laura Piedad, Pitrat, Delphine, Bretonnière, Yann, Borondics, Ferenc, Banyasz, Akos, Parola, Stephane, and Santanach Carreras, Enric
- Subjects
GRAYSCALE model ,PHOTORESISTS ,CHEMICAL processes ,INFRARED spectroscopy ,RADICALS (Chemistry) - Abstract
Additive manufacturing holds a strong promise to revolutionize industry. Fabricating high‐resolution and large objects with specific physical properties remains a critical challenge. In this direction, innovation in both chemical processing and 3D‐printing techniques are needed. Here, a solvent‐free hybrid organic‐inorganic photoresist combining a radical photoinitiator and a photobase generator is photostructured by grayscale lithography and direct laser writing using both one‐ and two‐photon absorption. Infrared spectroscopy suggests that one‐photon absorption activates the photoinitiator and leads to radical polymerization of organic moieties, triggering silanol condensation in a synergetic way. In contrast, upon two‐photon absorption, the driving force of polymer formation is the photobase‐induced silanol condensation. The proposed approach produces both large area and high‐resolution 3D structures upon one‐ and two‐photon absorption induced polymerization, respectively. This new paradigm paves the way toward the efficient fabrication of on‐demand devices for personalized health care, microfluidics, or microoptics. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
43. Double‐Sided, Thin‐Film Microelectrode Array with Hemispheric Electrodes for Subretinal Stimulation.
- Author
-
Kim, Namju, Hong, Yeji, Cha, Seongkwang, Kang, Yoo Na, Seo, Hee Won, Moon, Hyunmin, Ahn, Jungryul, Intisar, Aseer, Kim, Minseok S., Kim, Seong‐Woo, Goo, Yong Sook, and Kim, Sohee
- Subjects
- *
MICROELECTRODES , *ELECTRODES , *PHOTORESISTS , *NEUROPROSTHESES - Abstract
Components in neural implants, such as the electrode array and stimulator circuit, are often fabricated discretely. This modular fabrication scheme offers flexibility during development but poses difficulties during assembly, as components must be compactly integrated for implantation. It is particularly difficult in cases where the electrode array is required to have a high number of channels, such as in retinal prostheses. This paper presents the development of a parylene C‐based, double‐sided microelectrode array with 294 hemispheric electrodes for subretinal stimulation. The bonding pads on the bottom side of the double‐sided array are connected with electrodes through vias, eliminating the interconnection lines. The array can be integrated with a stimulator circuit through pad‐to‐pad bonding, resulting in a compact implant. The hemispheric electrodes are fabricated using thermally reflowed photoresist infillings, through which the height and width of the hemispheres can be easily controlled. The long‐term stability and biocompatibility of the materials and methods used to fabricate and package the electrodes are demonstrated in in vitro and in vivo environments over months. Finally, subretinal stimulation by the developed electrodes is successfully demonstrated using in vitro retinal patches from mice and monkeys. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
44. Recent Advances in Positive Photoresists: Mechanisms and Fabrication.
- Author
-
Hassaan, Muhammad, Saleem, Umama, Singh, Akash, Haque, Abrar Jawad, and Wang, Kaiying
- Subjects
- *
PHOTORESISTS , *EXTREME ultraviolet lithography , *PHOTOLITHOGRAPHY , *ULTRAVIOLET lithography , *LITHOGRAPHY , *ELECTRON beam lithography - Abstract
Photoresists are fundamental materials in photolithography and are crucial for precise patterning in microelectronic devices, MEMS, and nanostructures. This paper provides an in-depth review of recent advancements in positive photoresist research and development, focusing on discussion regarding the underlying mechanisms governing their behavior, exploring innovative fabrication techniques, and highlighting the advantages of the photoresist classes discussed. The paper begins by discussing the need for the development of new photoresist technologies, highlighting issues associated with adopting extreme ultraviolet photolithography and addressing these challenges through the development of advanced positive-tone resist materials with improved patterning features, resolution, and sensitivity. Subsequently, it discusses the working mechanisms and synthesis methods of different types and subtypes of photoresists, starting from non-chemically amplified, organic, and inorganic–organic hybrid photoresists and progressing to dry film resists, with an emphasis on the upsides of each. The paper concludes by discussing how future research in the field of lithography—prioritizing concerns related to environmental impacts, improved photoresist material and properties, and utilization of advanced quantum technology—can assist with revolutionizing lithography techniques. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
45. P‐209: Research on Adhesion of Acrylic Photoresist on Different Substrates for OLED Display.
- Author
-
Shen, Ying, Xiao, Weikang, Li, Chenzhe, and Zhu, Xiujian
- Subjects
SILANE coupling agents ,SUBSTRATES (Materials science) ,PHOTORESISTS - Abstract
Acrylic photoresist could be applied as a functional layer for OLED display, and it is required to be fabricated mainly on the hybrid substrate of metal and inorganic silicide substrate or the polymer substrate. Through simulation, this paper concludes that moderately increasing the silane coupling agent content and moderate crosslinking degree of the material system could contribute to improving the adhesion force at the interface between acrylic photoresist and substrate, thereby avoiding peeling. Four acrylic photoresists named OC1‐4 with different formula are introduced, their adhesion force and peeling performances on different substrates are researched. The experimental results are consistent with that of simulation. Among them, OC1&4 with high content of silane coupling agent did not exhibit peeling on the hybrid substrate and polymer substrate, and their adhesion forces are large enough, making them suitable as functional layers for OLED display. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
46. P‐94: Strategies for Adjusting the Flowability of Photoresist from Aspects of Material Composition and Manufacturing Process.
- Author
-
Feng, Yi, Li, Ji, and Zhang, Min
- Subjects
LIQUID crystal displays ,PHOTORESISTS ,MANUFACTURING processes - Abstract
The flowability of the photoresist affects the flatness of the color resistance layer during the fabrication process of liquid crystal displays. Color photoresists with poor flowability will increase the usage of photoresists, leading to an increase in panel costs. In this paper, the factors affecting flowability were analyzed in the directions of material and manufacturing and the results were then validated through experiments. Finally, strategies to adjust the flowability of photoresists from aspects of material composition and manufacturing process are summarized. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
47. 68‐3: Distinguished Paper: A 4.7 inch 650 PPI AM‐QLED Display Prepared by Direct Photolithography.
- Author
-
Zhang, Di, Li, Zhuo, Lu, Shaoyong, Li, Dong, Chen, Zhuo, Li, Yanzhao, Li, Xinguo, and Xu, Xiaoguang
- Subjects
PHOTOLITHOGRAPHY ,QUANTUM dots ,PHOTORESISTS ,ELECTROLUMINESCENCE ,LITHOGRAPHY - Abstract
In this work, efficient QD‐photosensitive ligand system were designed. By direct photolithography, RGB pixel arrays with a single sub‐pixel size of 39 um × 5 um were successfully prepared on patterned PM substrates. While illuminated separately, there were no residual QD emission peaks from neighbor sub‐pixel in electroluminescence spectra. Experience on the PM substrate guided the successful preparation of a 4.7‐inch 650 ppi AM‐QLED display. This was the first AM‐QLED prototype prepared by direct lithography. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
48. 12‐3: Materialization of Mid‐Resolution Quantum Dot Color Converters on G2.5 TFT‐LCD Production Line for Micro‐LED Displays.
- Author
-
Chena, Chih-Jung, Chenb, Kuan-An, and Chianga, Ray-Kuang
- Subjects
MASS production ,MANUFACTURING processes ,OPACITY (Optics) ,PHOTORESISTS ,UNIFORMITY - Abstract
This study proposes a mass production solution for quantum dot color converter (QDCC) of mid‐resolution micro‐LED displays. Therefore, a gray bank materials and QD photoresist (PR) with high optical density (OD) and low film thickness were developed. The gray bank materials can achieve OD = 1.08 and a reflectivity of over 25% at a thickness of 4 μm. The red QDPR has OD = 1.93 at 3 μm, and the green QDPR has OD = 1.58 at 3.3 μm. Finally, a 125 PPI and 7‐inch QDCC were fabricated using a G2.5 TFT‐LCD production line, and the optimal material formula and process conditions were determined. Optical analysis shows color point uniformity with STD < 1.3%, indicating that this solution can provide excellent in‐plane uniformity. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
49. Optical Lithography
- Author
-
Cui, Zheng and Cui, Zheng
- Published
- 2024
- Full Text
- View/download PDF
50. Heterometallic Ti-Zr oxo nanocluster photoresists for advanced lithography
- Author
-
Qiao, Yang, Shi, Guangyue, Zhang, Ou, Li, You, Vockenhuber, Michaela, Ekinci, Yasin, Luo, Feng, and Zhang, Lei
- Published
- 2024
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.