37 results on '"N. Bresson"'
Search Results
2. Three-dimensional hybrid bonding integration challenges and solutions toward multi-wafer stacking
- Author
-
Lucile Arnaud, Chantal Karam, F. Servant, Severine Cheramy, S. Borel, Frank Fournel, Thierry Mourier, C. Dubarry, N. Bresson, Mathilde Gottardi, G. Mauguen, and M. Assous
- Subjects
Interconnection ,Fabrication ,Materials science ,business.industry ,Copper interconnect ,Stacking ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Stack (abstract data type) ,Etching (microfabrication) ,Optoelectronics ,General Materials Science ,Wafer ,0210 nano-technology ,business ,Lithography - Abstract
Recent applications require vertical chip stacking to increase the performance of many devices without the need of advanced node components. Image sensors and vision systems will embed more and more smart functions, for instance, image processing, object recognition, and movement detection. In this perspective, the combination of Cu-to-Cu direct hybrid bonding technology with Through-Silicon-Via (TSV) will allow 3D interconnection between pixels and the associated computing and memory structures, each function fabricated on a separate wafer. Wafer-to-wafer hybrid bonding was achieved with multi-pitch design—1–4 µm—of single levels of Cu damascene patterned on 300 mm silicon substrates. Defect-free bonding, as far as the extreme edge of the wafer, was demonstrated on a stack with three wafers. Middle wafers thinning was done with grinding only and with a thickness uniformity (TTV)
- Published
- 2020
3. 3D interconnection using copper direct hybrid bonding for GaN on silicon wafer
- Author
-
C. Dubarry, L. Arnaud, M. L. Calvo Munoz, G. Mauguen, S. Moreau, R. Crochemore, N. Bresson, and B. Aventurier
- Published
- 2021
4. Towards $5\mu \mathrm{m}$ interconnection pitch with Die-to-Wafer direct hybrid bonding
- Author
-
Emilie Bourjot, Noura Nadi, Frank Fournel, Loic Sanchez, Severine Cheramy, Nicolas Raynaud, C. Castan, Alice Bond, Pascal Metzger, and N. Bresson
- Subjects
Bonding process ,Interconnection ,Materials science ,business.industry ,visual_art ,Electronic component ,visual_art.visual_art_medium ,Optoelectronics ,Wafer ,business ,Die (integrated circuit) - Abstract
Die-to-wafer direct hybrid bonding process is foreseen as a key enabler of heterogeneous 3D integration. Hybrid bonding technologies were first developed on W2W assembly reaching 3D interconnection pitch of $1\mu\mathrm{m}$ . Recently, CEA-Leti demonstrated the feasibility of DTW direct hybrid bonding at $10\mu\mathrm{m}$ with a specific die bonder (NEO HB) developed by SET Corporation. In this paper, the last improvements of DTW hybrid bonding process flow and die bonder alignment capability are presented. Main results showed an alignment capability improved to $ which enables bonding of die with $ interconnection pitch. Finally, multi-interconnection pitch bondings on a wafer were achieved with Cu pitches varying from $5\mu\mathrm{m}$ to $10\mu \mathrm{m}$ .
- Published
- 2021
5. Die-to-Wafer 3D Interconnections Operating at Sub-Kelvin Temperatures for Quantum Computation
- Author
-
Jean Charbonnier, Maud Vinet, Matias Urdampilleta, R. Franiatte, Arnaud Garnier, Nadine David, N. Bresson, Sebastien Renet, Candice Thomas, Tristan Meunier, Frank Fournel, Vivien Thiney, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
Cryostat ,0303 health sciences ,Materials science ,business.industry ,Process design ,Liquid nitrogen ,01 natural sciences ,03 medical and health sciences ,0103 physical sciences ,Thermal ,Optoelectronics ,Wafer ,010306 general physics ,business ,Daisy chain ,Quantum ,ComputingMilieux_MISCELLANEOUS ,[PHYS.COND.CM-MSQHE]Physics [physics]/Condensed Matter [cond-mat]/Mesoscopic Systems and Quantum Hall Effect [cond-mat.mes-hall] ,030304 developmental biology ,Quantum computer - Abstract
To reach quantum supremacy, large scale integration of quantum bits through three dimensional (3D) architectures functional at sub-Kelvin temperatures is required. Electrical signals are transferred by 3D interconnects which need to be carefully designed in term of materials and dimensions to optimize the whole system performance. To that end, 20 μm pitch daisy chains with more than 20000 SnAg microbump-based interconnects and more than 1000 direct Cu bond ones have been fabricated with die-to-wafer processes developed on 300 mm Si wafers. Daisy chain resistances have been measured in a liquid nitrogen deware and in a He3 cryostat at the following thermal steps: 300 K, 77 K, 4 K and 400 mK, allowing to extract unitary link resistances to establish preliminary process design kits at these low temperatures. The mechanical and electrical robustness of these interconnects has been validated through the repeatability of the resistance measurements over several thermal cycles.
- Published
- 2020
6. Die to Wafer Direct Hybid Bonding Demonstration with High Alignment Accuracy and Electrical Yields
- Author
-
C. Castan, P. Metzger, Loic Sanchez, Frank Fournel, A. Jouve, N. Raynaud, and N. Bresson
- Subjects
Materials science ,business.industry ,Annealing (metallurgy) ,020208 electrical & electronic engineering ,Stacking ,chemistry.chemical_element ,02 engineering and technology ,Copper ,chemistry ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Wafer ,Internet of Things ,business - Abstract
Die-To-Wafer (D2W) direct hybrid bonding is foreseen as a major breakthrough for the future of 3D components; however, its industrialization rises some additional challenges compared to Wafer-To-Wafer processing. This paper presents a 300mm wafer complete solution developed at LETI to improve bonding yield of D2W hybrid bonding using copper interconnections until the assessment of the electrical performances thanks to a dedicated 300mm electrical test vehicle and robust stacking system. Stackings with +/-1.5μm accuracy and excellent bonding interface have been obtained (80% bonding yield). After stacking and annealing, the die can be thinned down to 10μm without damage. Electrical yield measured on daisy-chains with more than 20.000 connections present more than 75% yield and shown very limited drift after preliminary environmental reliability tests. All these results confirmed the high industrial potential of D2W hybrid bonding technology.
- Published
- 2019
7. Towards a Complete Direct Hybrid Bonding D2W Integration Flow: Known-Good-Dies and Die Planarization Modules Development
- Author
-
D. Scevola, Severine Cheramy, G. Mauguen, Loic Sanchez, E. Lagoutte, G. Romano, M. Zussy, N. Bresson, Jerome Dechamp, A. Jouve, E. Bourjot, Emmanuel Rolland, C. Dubarry, C. Castan, V. Balan, P. Stewart, and Frank Fournel
- Subjects
Interconnection ,business.product_category ,business.industry ,Robustness (computer science) ,Computer science ,Chemical-mechanical planarization ,Stacking ,Microelectronics ,Mechanical engineering ,Die (manufacturing) ,business - Abstract
Die-to-wafer stacking is very promising for the next 3DIC generation since it offers the ability to assemble several dies with small interconnection pitches. This paper proposes an overall integration scheme D2W HB process to reinforce its robustness and its economical relevance for microelectronics industry. Firstly, a KGD strategy was developed to be compatible with hybrid bonding. A successful D2W bonding was demonstrated with tested pads. Secondly, the development of the planarization of stacked dies is presented.
- Published
- 2019
8. A simple test structure for the electrical characterization of front and back channels for advanced SOI technology development
- Author
-
N. Bresson, I. Ionica, Miltiadis Alepidis, Gweltaz Gaudin, F. Milesi, Shay Reboh, and Sorin Cristoloveanu
- Subjects
SIMPLE (military communications protocol) ,Computer science ,business.industry ,Interface (computing) ,Transistor ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,law.invention ,Stack (abstract data type) ,law ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Optoelectronics ,Electrical and Electronic Engineering ,business ,AND gate ,Hardware_LOGICDESIGN ,Communication channel - Abstract
Pseudo-MOSFET delivers a fast, simple and reliable way of characterizing electrically SOI substrates without the need of full CMOS fabrication. However, the information refers to the back interface. Here, to probe the front interface, we extend the concept to a double-gate pseudo-MOSFET. The structure provides a pertinent test vehicle for the characterization and development of transistors channel and gate stack materials in a configuration similar to fully fabricated transistors. We show that the devices with undoped terminals need specialized modeling development to describe the double gate effect. Devices with doped terminals are immediately exploitable for parameter extraction.
- Published
- 2021
9. Smart in-line defectivity/metrology process control solution for advanced 3D integration
- Author
-
Darcy Hart, Amina Sidhoum, Arnaud Garnier, Sandra Bos, Justin Miller, Nicolas Devanciard, Gilles Vera, Franck Bana, Carlos Beitia, N. Bresson, Dario Alliata, John Thornell, Scott Balak, and Stephane Rey
- Subjects
010302 applied physics ,Interconnection ,Engineering ,business.industry ,Process (computing) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Inspection time ,01 natural sciences ,Die (integrated circuit) ,Metrology ,Visual inspection ,0103 physical sciences ,Automotive Engineering ,Electronic engineering ,Process control ,0210 nano-technology ,business ,Throughput (business) - Abstract
When combined with in-line local metrology, Automatic Visual Inspection/Classification is a powerful tool to characterize 3D interconnect processes, either at the R&D level or in volume manufacturing environments. A new methodology that uses visual inspection results to drive local smart metrology was used for the first time to control the fabrication process of micro-pillar/micro-bump vertical contacts. Quantification of the inspection time when the smart logic concept was used revealed a throughput increase of 23% on average, while consistency of the automatic morphological accuracy was preserved as confirmed by in-line mechanical profilometry. The morphology characterization is discussed with respect to the electrical performances at die level.
- Published
- 2016
10. Improving mean time to develop micro-bump/pillar fabrication process for vertical interconnections by combined defectivity and metrology approach
- Author
-
N. Bresson, Justin Miller, Dario Alliata, Stephane Rey, Nicolas Devanciard, Darcy Hart, John Thornell, Carlos Beitia, and Franck Bana
- Subjects
Engineering ,Fabrication ,Speedup ,business.industry ,Process (computing) ,Root cause ,Manufacturing engineering ,Metrology ,Reliability engineering ,Software ,Learning curve ,Automotive Engineering ,Process control ,business - Abstract
In this paper, we explored a new process development concept that was recently introduced at CEA/LETI MINATEC campus to minimize the Mean-Time-To-Detection (MTTD) of fabrication problems. This innovative approach aims to speed up the learning curve and reduce its associated cost when developing new innovative technologies. The basic idea is to mix defectivity with metrology by feeding one with the other through a unique hardware/software platform. As a case study, we characterized the fabrication process of a micro-bump and pillar by using information from automatic visual inspection to drive the local metrological investigation. Therefore, the targeted metrology will gain time on root cause findings. Combined results were analyzed automatically, so as to optimize and maintain the related fabrication process.
- Published
- 2015
11. New Flip-Chip Bonder Dedicated To Direct Bonding For Production Environment
- Author
-
Severine Cheramy, A. Jouve, Frank Fournel, N. Bresson, Loic Sanchez, Nicolas Raynaud, and Pascal Metzger
- Subjects
Development environment ,Interconnection ,Computer science ,Hardware_INTEGRATEDCIRCUITS ,Process (computing) ,Dice ,Direct bonding ,Throughput (business) ,Vertical integration ,Automotive engineering ,Flip chip - Abstract
3D vertical integration of components is today an industrial reality. To reduce pitch of interconnection between the dice, the hydrid bonding technique, offering sub-10 $\mu$m interconnection pitch, is widely demonstrated for Wafer-to-Wafer bonding. Die-to-Wafer direct bonding remains today more challenging due to additional particle contamination and handling complexity but presents interesting assets.The purpose of this paper is to demonstrate the performance of a fully automated Die-to-Wafer bonder, SET FC1, specifically designed for direct bonding dedicated to production. After a description of the process and the elements developed specially for this process, it will be demonstrated that an accuracy of ± 1 $\mu$m can be reached today with a throughput up to several hundred dice per hour. If progresses still need to be done, the particle contamination is low enough to enable demonstration of oxide/oxide Die-to-Wafer direct bonding. Considering the results obtained until now, industrialization appears feasible.
- Published
- 2018
12. Fine pitch 3D interconnections with hybrid bonding technology: From process robustness to reliability
- Author
-
Simon Gousseau, Frank Fournel, Joris Jourdon, N. Bresson, V. Balan, M. Arnoux, Lucile Arnaud, C. Euvrard, Alexis Farcy, Sandrine Lhostis, S. Guillaumet, Y. Exbrayat, Stephane Moreau, Didier Lattard, Imed Jani, E. Deloffre, and A. Jouve
- Subjects
Interconnection ,Materials science ,Fabrication ,Extrapolation ,Fine pitch ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Electromigration ,020303 mechanical engineering & transports ,0203 mechanical engineering ,Robustness (computer science) ,ComputerApplications_MISCELLANEOUS ,Scalability ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,0210 nano-technology - Abstract
This paper presents the description of direct hybrid bonding technology for the fabrication of vertical interconnects thanks to wafer-to-wafer bonding. Process robustness is analyzed through morphological and electrical results. The electrical characterizations are discussed versus hybrid bonding pad dimensions and pitches. Electromigration study is carried out on different test vehicles with hybrid bonding interconnect dimensions below 5 μm. Experimental tests provide the parameters for lifetime extrapolation and show that the hybrid bonding module is immune to electromigration failures. Finally perspectives and key challenges for 3D interconnects scalability are given.
- Published
- 2018
13. Hybrid bonding for 3D stacked image sensors: impact of pitch shrinkage on interconnect robustness
- Author
-
C. Euvrard, P. Lamontagne, C. Sart, S. Mermoz, E. Deloffre, Hélène Fremont, A. Jouve, Lucile Arnaud, H. Bilgen, Alexis Farcy, Yann Henrion, N. Bresson, M. Arnoux, Sandrine Lhostis, F. Andre, Joris Jourdon, V. Balan, S. Guillaumet, Stephane Moreau, Y. Exbrayat, J. Chossat, A-L. Martin, C. Charles, Daniel Scevola, S. Cheramy, D. Bouchu, Laboratoire d'Electronique et des Technologies de l'Information (CEA-LETI), Université Grenoble Alpes (UGA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Department of Industrial and Systems Engineering [Lehigh] (ISE), Lehigh University [Bethlehem], SOITEC, Institut Charles Gerhardt Montpellier - Institut de Chimie Moléculaire et des Matériaux de Montpellier (ICGM ICMMM), Université Montpellier 1 (UM1)-Université Montpellier 2 - Sciences et Techniques (UM2)-Ecole Nationale Supérieure de Chimie de Montpellier (ENSCM)-Université de Montpellier (UM)-Centre National de la Recherche Scientifique (CNRS), Extraction et Exploitation de l'Information en Environnements Incertains (E3I2), École Nationale Supérieure de Techniques Avancées Bretagne (ENSTA Bretagne), Centre de Thermique de Lyon (CETHIL), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Institut National des Sciences Appliquées de Lyon (INSA Lyon), Université de Lyon-Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Centre National de la Recherche Scientifique (CNRS), Laboratoire de l'intégration, du matériau au système (IMS), Université Sciences et Technologies - Bordeaux 1-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Ecole Nationale Supérieure de Chimie de Montpellier (ENSCM)-Centre National de la Recherche Scientifique (CNRS)-Université de Montpellier (UM)-Université Montpellier 1 (UM1)-Université Montpellier 2 - Sciences et Techniques (UM2)-Institut de Chimie du CNRS (INC), Milieux aquatiques, écologie et pollutions (UR MALY), Institut national de recherche en sciences et technologies pour l'environnement et l'agriculture (IRSTEA), Centre d'Energétique et de Thermique de Lyon (CETHIL), Université Sciences et Technologies - Bordeaux 1 (UB)-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), Centre National de la Recherche Scientifique (CNRS)-Institut National des Sciences Appliquées de Lyon (INSA Lyon), Université de Lyon-Institut National des Sciences Appliquées (INSA)-Université de Lyon-Institut National des Sciences Appliquées (INSA)-Université Claude Bernard Lyon 1 (UCBL), Université de Lyon, Institut Charles Gerhardt Montpellier - Institut de Chimie Moléculaire et des Matériaux de Montpellier (ICGM), and Ecole Nationale Supérieure de Chimie de Montpellier (ENSCM)-Institut de Chimie du CNRS (INC)-Université de Montpellier (UM)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Interconnection ,Materials science ,business.industry ,02 engineering and technology ,Temperature cycling ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,[SPI]Engineering Sciences [physics] ,13. Climate action ,Robustness (computer science) ,0103 physical sciences ,Optoelectronics ,Interconnect scaling ,Image sensor ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS ,Shrinkage - Abstract
Hybrid bonding is a high-density technology for 3D integration but further interconnect scaling down could jeopardize electrical and reliability performance. A study of the influence of hybrid bonding pitch shrinkage on a 3D stacked backside illuminated CMOS image sensor was performed from a process, device performance and robustness perspectives, from $8.8\ \mu\mathrm{m}$ down to $1.44\ \mu \mathrm{m}$ bonding pitches. As a result no defect related to smaller bonding pads was evidenced neither by thermal cycling nor by electromigration, thus validating fine-pitch hybrid bonding robustness and introduction for next generation image sensors.
- Published
- 2018
14. 1μm Pitch direct hybrid bonding with <300nm wafer-to-wafer overlay accuracy
- Author
-
N. Bresson, Severine Cheramy, A. Jouve, V. Balan, Lucile Arnaud, S. Guillaumet, Sandrine Lhostis, C. Euvrard-Colnat, C. Beitia, S. Mermoz, Y. Exbrayat, Frank Fournel, G. Mauguen, Alexis Farcy, M. Abdel Sater, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), and STMicroelectronics [Crolles] (ST-CROLLES)
- Subjects
Bonding process ,Interconnection ,Bonding ,Materials science ,business.industry ,Surface treatment ,Oxide ,High density ,chemistry.chemical_element ,02 engineering and technology ,Overlay ,Copper ,Rough surfaces ,Surface topography ,[SPI]Engineering Sciences [physics] ,chemistry.chemical_compound ,Surface roughness ,020210 optoelectronics & photonics ,chemistry ,Robustness (computer science) ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Wafer ,business - Abstract
International audience; Copper/oxide hybrid bonding process has been extensively studied these past years as a key enabler for 3D high density application with top and bottom tier interconnection pitch below 10μm. Since 2015 hybrid bonding process robustness has been confirmed on complete electrical test vehicles [1,2] as well as commercial products [3] integrating copper to copper interconnection pitchs close to 6μm. To our knowledge, no results have been shown today demonstrating sub-1.5μm pitch copper hybrid bonding feasibility.
- Published
- 2017
15. Effect of passivation annealing on the electromigration properties of hybrid bonding stack
- Author
-
N. Bresson, D. Guiheux, R. Beneyton, Sandrine Lhostis, Joris Jourdon, D. Bouchu, Stephane Moreau, Hélène Fremont, S. Renard, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Laboratoire de l'intégration, du matériau au système (IMS), and Université Sciences et Technologies - Bordeaux 1 (UB)-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Materials science ,Passivation ,Annealing (metallurgy) ,020209 energy ,Metallurgy ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,Electromigration ,Copper ,Chemical species ,chemistry ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Annealing atmosphere ,Composite material ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS - Abstract
This paper presents electromigration results on a hybrid bonding-based test vehicle to study the impact of bonding and passivation annealings on backend of line robustness. Black's parameters extraction leads to typical values of Cu-based interconnects. Electromigration lifetime remains the same whatever the bonding annealing conditions but a significant influence of passivation annealing is observed. Chemical analyses evidence the effect of the annealing atmosphere. A discussion is lead on the chemical species concentration at different locations of the stack and the reduction of the Time to Failure with passivation final annealing.
- Published
- 2017
16. ITAC: A complete 3D integration test platform
- Author
-
Arnaud Garnier, Gael Pillonnet, R. Segaud, A. Jouve, Pascal Vivet, H. Jacquinot, Alexandre Arriordaz, Fabrice Casset, S. Cheramy, Jean Michailos, N. Bresson, Lucile Arnaud, C. Chantre, Sandrine Lhostis, Didier Lattard, K. Azizi-Mourier, Franck Bana, Alexis Farcy, F. Ponthenier, and Stephane Moreau
- Subjects
Engineering ,Integration testing ,business.industry ,020208 electrical & electronic engineering ,Stacking ,02 engineering and technology ,Chip ,Supercomputer ,Die (integrated circuit) ,Reliability (semiconductor) ,Embedded system ,0202 electrical engineering, electronic engineering, information engineering ,System integration ,business ,Electronic circuit - Abstract
System integration takes benefit from 3D stacking technology in a wide range of applications such as smart imagers, photonic, wide I/O memories and high-performance computing. The 700 mm2 ITAC 3D integration test platform contains a set of “Integrated Technological and Application Circuits” for process development, electrical and RF characterization, reliability, die stacking, warpage and underfilling studies, DC-DC converter and IntAct chip which is the full application chip. After a brief presentation of the targeted high performance computing application. The contributions integrated in the test platform are described with a particular focus on the 10 μm diameter 20 μm pitch die-to-die interconnects which is the key technology of the 3D stack. These test vehicles have been embedded on the same silicon to secure the application chip at all the steps from technology development to assembly and test.
- Published
- 2016
17. Development of fine pitch interconnections for 3D integrated circuits
- Author
-
S. Cheramy, Arnaud Garnier, A. Jouve, N. Bresson, P. Loiodice, F. Ponthenier, Didier Lattard, Fabrice Casset, and Franck Bana
- Subjects
Engineering ,business.industry ,Process (computing) ,Integrated circuit ,Chip ,law.invention ,Resist ,law ,Robustness (computer science) ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Miniaturization ,Wafer ,Electronics ,business - Abstract
As the electronic devices miniaturization roadmap trend is pursuing, 3D technologies have also emerged and appeared as one serious option for the next generation of semiconductors industry. The purpose of this paper is to introduce the complete development of fine pitch microbumps and micropillars for chip to wafer interconnections on 300 mm wafers using industrial tools and with already existing process. Our goal is to use production process and materials to simplify industry transfer. Good morphological and electrical results showed a process robustness that may be suitable for an industrial approach.
- Published
- 2016
18. Electron magnetoresistance mobility in silicon-on-insulator layers using Kelvin’s technique
- Author
-
Lorenzo Faraone, John Dell, Jarek Antoszewski, Sorin Cristoloveanu, and N. Bresson
- Subjects
Electron mobility ,Condensed matter physics ,Magnetoresistance ,Chemistry ,Contact resistance ,Silicon on insulator ,chemistry.chemical_element ,Electron ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Magnetic field ,Erbium ,Materials Chemistry ,Condensed Matter::Strongly Correlated Electrons ,Electrical and Electronic Engineering ,Ohmic contact - Abstract
The electron mobility in silicon-on-insulator (SOI) layers has been extracted from magnetoresistance data measured on a four concentric ring test structure, operating in pseudo-MOS configuration, using Kelvin’s technique. Ohmic contacts were fabricated using a thermally evaporated erbium/silver double layer. The relative magnetoresistance versus magnetic field characteristics demonstrated classic quadratic behavior allowing for straightforward extraction of magnetoresistance mobility. The technique does not require any correction to be applied due to contact resistance or geometrical effects. The electron mobility extracted using magnetoresistance technique is discussed and compared with theoretical predictions.
- Published
- 2010
19. Possible Influence of the Schottky Contacts on the Characteristics of Ultrathin SOI Pseudo-MOS Transistors
- Author
-
Yasuhisa Omura, N. Bresson, Kenji Komiya, Shingo Sato, and Sorin Cristoloveanu
- Subjects
Materials science ,business.industry ,Schottky barrier ,Transistor ,Electrical engineering ,Silicon on insulator ,Schottky diode ,Band offset ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,law.invention ,law ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,Thin film ,business - Abstract
The paper describes the impact of pseudo-MOS technique on threshold and flatband voltages, and why the threshold and flatband voltages depend on silicon-on-insulator (SOI) layer thickness. Our measurements and simulations suggest that the band-offset-induced depletion beneath the source contact obstructs the local formation of the inversion layer at the SOI/buried oxide interface; this effect becomes significant when the SOI layer thickness is reduced. The SOI layer thickness dependence of flatband voltage is analyzed in a similar manner. The temperature dependence of threshold and flatband voltages is also addressed.
- Published
- 2005
20. Detailed Investigation of Geometrical Factor for Pseudo-MOS Transistor Technique
- Author
-
Shingo Sato, N. Bresson, Yasuhisa Omura, S. Cristoloveanu, and Kenji Komiya
- Subjects
Electron mobility ,Materials science ,Silicon ,Hybrid silicon laser ,business.industry ,Transistor ,technology, industry, and agriculture ,Silicon on insulator ,chemistry.chemical_element ,Function (mathematics) ,Hardware_PERFORMANCEANDRELIABILITY ,equipment and supplies ,Electronic, Optical and Magnetic Materials ,law.invention ,Characterization (materials science) ,chemistry ,law ,Sample size determination ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
The pseudo-MOS transistor technique is useful for quick and accurate characterization of as-fabricated silicon-on-insulator wafers. The sample size and probe-pressure effects on the drain current are revisited. It is demonstrated that the geometrical factor is significantly affected by the probe-to-edge distance and probe pressure. The correct geometrical factor, reflecting silicon island size, and probe pressure effects, is extracted from systematic experimental results and used to determine the actual carrier mobility.
- Published
- 2005
21. Integration of buried insulators with high thermal conductivity in SOI MOSFETs: Thermal properties and short channel effects
- Author
-
Carlos Mazure, Hiroshi Iwai, N. Bresson, Fabrice Letertre, and Sorin Cristoloveanu
- Subjects
010302 applied physics ,Materials science ,Wafer bonding ,business.industry ,Electrical engineering ,Silicon on insulator ,Diamond ,Insulator (electricity) ,02 engineering and technology ,Dielectric ,engineering.material ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Thermal conductivity ,0103 physical sciences ,Thermal ,Materials Chemistry ,engineering ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Ground plane - Abstract
SOI circuits exhibit excellent performance and scalability but suffer from self-heating. Systematical 2D simulations demonstrate that the thermal dissipation in SOI MOSFETs can be improved dramatically by replacing the buried oxide with high thermal conductance insulators. The self-heating can be reduced by as much as 50–100 °C. Yet, these materials feature high-K dielectric constant, which also affects the electrical properties: more severe short-channel effects, parasitic capacitances and drain-to-body fringing fields. The conciliation between the thermal and electrical properties of advanced SOI MOSFETs (50 nm long, 10 nm thick) is examined by comparing different SOI materials (air, SiO2, diamond, AlN, Al2O3, SiC) and MOS architectures. We demonstrate the advantage of a ground plane (GP) located under the buried insulator (BOX). Diamond is excellent candidate for relatively thick BOX whereas Al2O3 and SiC are suitable for ultra-thin BOX. These novel structures can be fabricated by wafer bonding technology.
- Published
- 2005
22. Charge trapping in irradiated SOI wafers measured by second harmonic generation
- Author
-
F. Brunier, R. Pasternak, M. Fouillat, Sergey N. Rashkeev, Y. V. White, S. Cristoloveanu, Daniel M. Fleetwood, Bongim Jun, Ronald D. Schrimpf, Norman Tolk, and N. Bresson
- Subjects
Nuclear and High Energy Physics ,Materials science ,business.industry ,Second-harmonic generation ,Silicon on insulator ,Trapping ,Signal ,Nuclear Energy and Engineering ,MOSFET ,Optoelectronics ,Wafer ,Electrical measurements ,Surface second harmonic generation ,Electrical and Electronic Engineering ,business - Abstract
Total dose effects on silicon on insulator (SOI) UNIBOND wafers are studied via optical second harmonic generation (SHG). This technique is qualitatively compared with the pseudo-MOSFET technique for monitoring charges at the interfaces. Optical and electrical methods are combined to separate the contribution of the signal from each interface to the total SHG intensity. Radiation-induced oxide and interface traps increase the interface fields as determined from the SHG signals and the results are compared with electrical measurements.
- Published
- 2004
23. Innovating SOI films: impact of thickness and temperature
- Author
-
N. Bresson and Sorin Cristoloveanu
- Subjects
Materials science ,Computer simulation ,business.industry ,Silicon on insulator ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Buried oxide ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Special effects ,MOSFET ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,Thin film ,business ,Voltage - Abstract
Novel Unibond wafers, with very thin film and buried oxide, are probed with the pseudo-MOSFET method. It is shown that the method is still efficient at high temperature and for ultra-thin (10 nm) films. The threshold and flat-band voltages increase in thinner films due to special effects that are revealed by numerical simulations.
- Published
- 2004
24. Through Silicon Via technology using tungsten metallization
- Author
-
R. Anciant, N. Sillon, N. Bresson, P. Brianceau, J. F. Lugand, G. Pares, S. Minoret, and V. Lapras
- Subjects
Microelectromechanical systems ,Wire bonding ,Fabrication ,Through-silicon via ,chemistry ,Computer science ,Process (computing) ,Stacking ,Electronic engineering ,Deep reactive-ion etching ,chemistry.chemical_element ,Tungsten - Abstract
Through Silicon Vias (TSV) is a very promising technology in advanced packaging, for the replacement of wire bonding. This technology is becoming mandatory for fully integrated products such as SiP, SoP, 3D components integration (e.g memory stacking), or MEMS structure packaging. Different alternatives are currently investigated such as via-first or via-last. Into the via-first family two different approaches can be considered. The TSV's can be done before the FEOL (pre-process approach) or in-between the FEOL and the BEOL (mid process approach). Each solution has advantages and drawbacks depending on the final application in particular. In a first part of this paper the tungsten mid-process TSV technology will be presented and briefly compared to the copper mid-process approaches. Then, the process of the tungsten TSV fabrication will be detailed and morphological characterizations will be presented. We will focus on two specific parts of the process which have been specifically optimized for the tungsten TSV technology: the low temperature insulation oxide and the tungsten deposition-etch back sequence to fill the vias. The results of those optimizations will be presented and discussed. Last, we will introduce the electrical test vehicle used in this work and present the main results regarding via resistances. Some specific recommendations will by proposed in term of design and integration rules in relation with the process constraints.
- Published
- 2011
25. Effects of stress in polysilicon VIA - first TSV technology
- Author
-
N. Bresson, V. Lapras, N. Sillon, David Henry, G. Parès, and Stephane Moreau
- Subjects
Materials science ,Through-silicon via ,Silicon ,business.industry ,chemistry.chemical_element ,Substrate (electronics) ,Stress (mechanics) ,Reliability (semiconductor) ,CMOS ,chemistry ,Electronic engineering ,Optoelectronics ,Wafer ,business ,Wafer-level packaging - Abstract
Through Silicon Via (TSV) is a very attractive solution for 3D stacking. One of the main concerns regarding the TSV technologies is the resulting stress build up inside the silicon substrate that induces warpage or expansion at the wafer level, crystalline defects in the neighboring silicon of the TSV and finally can impact performances and reliability of CMOS device as well. In this work, we show results on how the stress is built up in the substrate during the fabrication of via-first polysilicon TSVs and the influence of some of the specific process steps. Then, simulated data will be presented and compared to experimental findings. Then, stress release during back side processing is demonstrated by wafer expansion and cracks of the thinned wafer depending on the glue material used. We also present characterizations of silicon defects by chemical revelation around the TSV structures after back side processing. The impact of thin wafer expansion on TSV electrical performances will be then presented. Finally we show that with the optimization of some key process steps, stress induced in polysilicon via-first technology may be acceptable for IC integration.
- Published
- 2010
26. Electron magnetoresistance mobility in silicon on insulator layers using Kelvin's technique
- Author
-
Lorenzo Faraone, N. Bresson, John Dell, Sorin Cristoloveanu, Jarek Antoszewski, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), SOITEC, and Domenget, Chahla
- Subjects
Electron mobility ,Materials science ,Magnetoresistance ,Physics::Optics ,Silicon on insulator ,chemistry.chemical_element ,02 engineering and technology ,Electron ,01 natural sciences ,Erbium ,[PHYS.COND.CM-GEN] Physics [physics]/Condensed Matter [cond-mat]/Other [cond-mat.other] ,0103 physical sciences ,Ohmic contact ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,Condensed matter physics ,business.industry ,Contact resistance ,Electrical engineering ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,021001 nanoscience & nanotechnology ,Magnetic field ,chemistry ,[PHYS.COND.CM-GEN]Physics [physics]/Condensed Matter [cond-mat]/Other [cond-mat.other] ,Condensed Matter::Strongly Correlated Electrons ,0210 nano-technology ,business - Abstract
The electron mobility in silicon-on-insulator (SOI) layers has been extracted from magnetoresistance data measured on a four-concentric-ring test structure, operating in pseudo-MOS configuration, using Kelvin's technique. Ohmic contacts were fabricated using a thermally evaporated erbium/silver double layer. The relative magnetoresistance versus magnetic field characteristics demonstrated classic quadratic behavior allowing for straightforward extraction of magnetoresistance mobility. The technique does not require any correction to be applied due to contact resistance or geometrical effects.
- Published
- 2009
27. Transport measurements in silicon-on-insulator films: Comparison of Hall effect, mobility spectrum, and pseudo-metal-oxide-semiconductor-field-effect-transistor techniques
- Author
-
N. Bresson, T.V. Chandrasekhar Rao, Paulo Gentil, T. Nguyen, Sorin Cristoloveanu, Jarek Antoszewski, Frederic Allibert, Lorenzo Faraone, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), and Domenget, Chahla
- Subjects
010302 applied physics ,Electron mobility ,Condensed matter physics ,Chemistry ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Thermal Hall effect ,General Physics and Astronomy ,Silicon on insulator ,02 engineering and technology ,Electron ,021001 nanoscience & nanotechnology ,01 natural sciences ,Magnetic field ,Hall effect ,0103 physical sciences ,MOSFET ,Field-effect transistor ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
We report on the nature of electrical transport in silicon-on-insulator layers, investigated using several techniques: the standard single magnetic field Hall effect, mobility spectrum analysis of the magnetic field-dependent Hall effect, and the pseudo-metal-oxide-semiconductor-field-effecttransistor technique. For moderate and strong inversion, electrical transport in the temperature range 77–300 K is dominated by a lone electron species with a mobility of 500−1000 cm2/Vs. A good correlation is noted between these methods.
- Published
- 2008
28. Electrical Characterization of Ultra-Thin SOI Films: Comparison of the Pseudo-MOSFET and Hg-FET Techniques
- Author
-
Sorin Cristoloveanu, C. Maunand-Tussot, N. Bresson, K. Bellatreche, and Frederic Allibert
- Subjects
Semiconductor thin films ,Electron mobility ,Materials science ,Silicon ,chemistry ,business.industry ,MOSFET ,Silicon on insulator ,chemistry.chemical_element ,Optoelectronics ,Wafer ,business ,Characterization (materials science) - Abstract
As the MOSFETs dimensions are scaled down, following the ITRS roadmap, the need for SOI wafers with ultra-thin Si films (UTF) becomes acute. Characterization of these wafers with simple, process-independent, and fast turnaround methods is very important. In this paper, we present for the first time 3 key aspects: (i) properties of UTF down to 10 nm thickness; (ii) comparison of thinning techniques (sacrificial oxidation vs. SCI); and (iii) comparison of pseudo-MOSFET and Hg-FET methods for UTF.
- Published
- 2006
29. Correlation of Pseudo-MOS transistor and Hall effect measurements in thin SOI wafers
- Author
-
Lorenzo Faraone, Paulo Gentil, N. Bresson, Jarek Antoszewski, Q.T. Nguyen, S. Cristoloveanu, Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), Domenget, Chahla, and Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF)
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,Phonon scattering ,Condensed matter physics ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Transistor ,Silicon on insulator ,Biasing ,Substrate (electronics) ,01 natural sciences ,law.invention ,law ,Hall effect ,0103 physical sciences ,MOSFET ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS - Abstract
The carrier mobility in advanced SOI wafers was investigated by Pseudo-MOS transistor and Hall effect. Substrate biasing enables these measurements even when the Si film is fully depleted. The data indicate a good correlation between the two methods. Hall mobility is higher than the effective pseudo- MOSFET mobility as a result of prevailing phonon scattering.
- Published
- 2006
30. Ultra-thin strained SOI substrate analysis by pseudo-MOS measurements
- Author
-
E. Latu-Romain, Thomas Ernst, Sorin Cristoloveanu, Ian Cayrefourcq, D. Delille, N. Bresson, C. Gallon, F. Fournel, S. Bord, Thomas Skotnicki, B. Ghyselen, Francois Andrieu, J.M. Hartmann, Cecile Aulnette, Yves Campidelli, Frederic Allibert, Claire Fenouillet-Beranger, N. Kernevez, STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), SOITEC, Philips France Semiconducteurs, Freescale Semiconductor, Freescale semiconductor, Laboratoire d'Electrochimie et de Physico-chimie des Matériaux et des Interfaces (LEPMI ), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF), and Institut de Chimie du CNRS (INC)-Institut National Polytechnique de Grenoble (INPG)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Joseph Fourier - Grenoble 1 (UJF)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Silicon ,ultra-thin strained SOI ,020209 energy ,chemistry.chemical_element ,Silicon on insulator ,02 engineering and technology ,01 natural sciences ,Soi substrate ,0103 physical sciences ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Wafer ,Electrical and Electronic Engineering ,010302 applied physics ,pseudo-MOS measurements ,business.industry ,Relaxation (NMR) ,[CHIM.MATE]Chemical Sciences/Material chemistry ,Condensed Matter Physics ,Thermal conduction ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Optoelectronics ,business ,Voltage - Abstract
International audience; Pseudo-MOS (Ψ -MOSFET) measurements are a simple and rapid technique for an accurate evaluation of SOI wafer intrinsic electrical properties, prior to any CMOS processing. For the first time, we report Ψ -MOSFET measurements performed on Strained SOI (SSOI) wafers with ultra-thin silicon films (9.5 nm to 17.5 nm). To take into account the wafer specificities and the use of such thin conduction layers, adapted Ψ -MOSFET models and parameter extraction methods were necessary. The experiments show an increase of the threshold and flat band voltages and a mobility reduction as the strained film becomes thinner. Further electrical analyses, coupled with several morphological studies, do not reveal any relaxation of the strain in the thinnest films. The mobility is clearly enhanced in SSOI as compared with standard SOI substrates.
- Published
- 2005
31. Alternative dielectrics for advanced SOI MOSFETs: thermal properties and short channel effects
- Author
-
Hiroshi Iwai, Fabrice Letertre, K. Oshima, Carlos Mazure, N. Bresson, and Sorin Cristoloveanu
- Subjects
Materials science ,Wafer bonding ,business.industry ,Silicon on insulator ,Diamond ,Dielectric ,engineering.material ,Thermal conductivity ,Thermal ,MOSFET ,engineering ,Electronic engineering ,Optoelectronics ,business ,Quartz - Abstract
SOI devices exhibit excellent performance and scalability, but the presence of the buried oxide (BOX) induces self-heating. A possible solution is to replace the SiO/sub 2/ BOX with other dielectrics that offer improved thermal conductance without degrading the electrical properties. The trade-off between short-channel and thermal effects in advanced SOI MOSFETs (10 nm thick, 20-50 nm long) is examined by comparing various materials: Al/sub 2/O/sub 3/, SiC, quartz, diamond and air. Diamond and quartz are excellent candidates for relatively thick BOX whereas alumina and SiC are suitable for ultra-thin BOX. This novel structure can be fabricated by wafer bonding technology.
- Published
- 2005
32. Possible influence of the Schottky contacts on the characteristics of ultrathin SOI pseudo- MOS transistors
- Author
-
Sato K. Komiya N. Bresson Y. Omura S. Cristoloveanu, S., Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF), and Domenget, Chahla
- Subjects
[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS - Abstract
International audience
- Published
- 2005
33. Electrical characterization of ultra-thin SOI films : comparison of the pseudo–MOSFET and Hg–FET techniques
- Author
-
Allibert N. Bresson K. Bellatreche C. Maunard-Tussot S. Cristoloveanu, F., Domenget, Chahla, Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), and Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF)
- Subjects
[SPI.ELEC]Engineering Sciences [physics]/Electromagnetism ,[INFO.INFO-TS]Computer Science [cs]/Signal and Image Processing ,[INFO.INFO-TS] Computer Science [cs]/Signal and Image Processing ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.ELEC] Engineering Sciences [physics]/Electromagnetism ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.SIGNAL]Engineering Sciences [physics]/Signal and Image processing ,ComputingMilieux_MISCELLANEOUS ,[SPI.SIGNAL] Engineering Sciences [physics]/Signal and Image processing - Abstract
International audience
- Published
- 2005
34. ALD alumina films as buried dielectric layers for SOI structures
- Author
-
De Beaumont H. Moriceau O. Rayssac N. Bresson S. Cristoloveanu A.M. Charvet, C., Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF), Domenget, Chahla, and Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
[SPI.ELEC]Engineering Sciences [physics]/Electromagnetism ,[INFO.INFO-TS]Computer Science [cs]/Signal and Image Processing ,[INFO.INFO-TS] Computer Science [cs]/Signal and Image Processing ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.ELEC] Engineering Sciences [physics]/Electromagnetism ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.SIGNAL]Engineering Sciences [physics]/Signal and Image processing ,ComputingMilieux_MISCELLANEOUS ,[SPI.SIGNAL] Engineering Sciences [physics]/Signal and Image processing - Abstract
International audience
- Published
- 2005
35. Detailed investigation of geometrical factor for pseudo-MOS technique
- Author
-
Komiya N. Bresson S. Sato S. Cristoloveanu Y. Omura, K., Domenget, Chahla, Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS - Abstract
International audience
- Published
- 2005
36. Impact of the Schottky contacts on characterization of ultra-thin SOI pseudo-MOS transistors
- Author
-
Sato K. Komiya N. Bresson Y. Omura S. Cristoloveanu, S., Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF), Domenget, Chahla, and Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
[SPI.ELEC]Engineering Sciences [physics]/Electromagnetism ,[INFO.INFO-TS]Computer Science [cs]/Signal and Image Processing ,[INFO.INFO-TS] Computer Science [cs]/Signal and Image Processing ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.ELEC] Engineering Sciences [physics]/Electromagnetism ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.SIGNAL]Engineering Sciences [physics]/Signal and Image processing ,ComputingMilieux_MISCELLANEOUS ,[SPI.SIGNAL] Engineering Sciences [physics]/Signal and Image processing - Abstract
International audience
- Published
- 2005
37. Ultra-thin strained SOI substrate analysis by pseudo-MOS measurements
- Author
-
Gallon C. Fenouillet-Béranger N. Bresson S. Cristoloveanu F. Allibert S. Bord C. Aulnette D. Delille E. Latu-Romain J.M. Hartmann T. Ernst F. Andrieu Y. Campidelli B. Ghyselen I. Cayrefourcq F. Fournel N. Kernevez T. Skotnicki, C., Domenget, Chahla, Institut de Microélectronique, Electromagnétisme et Photonique (IMEP), Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique de Grenoble (INPG)-Université Joseph Fourier - Grenoble 1 (UJF), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
[SPI.ELEC]Engineering Sciences [physics]/Electromagnetism ,[INFO.INFO-TS]Computer Science [cs]/Signal and Image Processing ,[INFO.INFO-TS] Computer Science [cs]/Signal and Image Processing ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.ELEC] Engineering Sciences [physics]/Electromagnetism ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,[SPI.SIGNAL]Engineering Sciences [physics]/Signal and Image processing ,ComputingMilieux_MISCELLANEOUS ,[SPI.SIGNAL] Engineering Sciences [physics]/Signal and Image processing - Abstract
International audience
- Published
- 2005
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.