57 results on '"Ming-Shih Tsai"'
Search Results
2. (Invited) A Novel System for Slurry Recycling and Waste Water Permeation
- Author
-
Ryan Wang, Ming-Shih Tsai, Cher Tung, and Peter Lee
- Subjects
Wastewater ,Waste management ,Slurry ,Environmental engineering ,Environmental science ,Permeation - Abstract
Traditionally, CMP (chemical mechanical planarization) slurry waste requires significant amount of works including pH neutralization, solid precipitation and toxic separation before discharged into environment. Due to the stringent process control in IC manufacturing, the slurries typically cannot be reused, and it caused significant burden to the IC fabs¢ facilities. CMC has successfully developed a novel slurry recycling system which has been implemented in mass production IC fabs over 3 years.. The slurry waste is properly processed to collect both abrasives and permeated water. The abrasive can be further adjusted for continuous polishing usage while the permeated water will be feedback for DI water source. This system has been optimized for reliable slurry¢ s physical properties and well verified with stable polishing performance and no impact on polish defectivity.
- Published
- 2014
3. Mechanistic Understanding of the Planarization Behavior of Low κ Organosilicate Glass Films with Beol Barrier Slurries
- Author
-
Anupama Mallikarjunan, Jennifer Achtyl, Rung-Je Yang, Chen-Yuan Huang, Shih-Hsuan Chao, Lu Gan, Robert Ridgeway, James Schlueter, Ming-Shih Tsai, Chris Li, and Mark O'Neill
- Abstract
Low κ Organosilicate Glass (OSG) films are being proliferated into new IC device architectures with CMP requirements spanning a wide range (highly selective to non-selective, low polish rates to high polish rates). This study aims to understand the relationship between these OSG film properties (both bulk and surface) and their planarization response, especially removal rate (RR). Eight OSG films were polished along with TEOS oxide using two barrier slurries. The films ranged in carbon content from 8 to 24 % and in nanoindentation elastic modulus from 5.5 to 21.8 GPa. Counterintuitively, higher mechanical strength (i.e., hardness, elastic modulus) of the low κ film did not result in lower polish rates; but was in agreement with previously noted behavior [1]. Removal rates also did not correlate to key OSG film properties such as refractive index (RI), dielectric constant (κ), or total carbon content (by X-ray photoelectron spectroscopy). However, an inverse relationship was observed between RR and the bulk chemical bonding structure (Si-CH3/Si-O ratio) as determined by transmission infrared spectroscopy (FT-IR) measurements (see Figure 1). In addition, a correlation between RR and OSG film’s surface free energy post-polish (measured from water and diiodomethane contact angles) was also observed. Based on the above findings, a mechanistic understanding of low κ OSG polishing was developed. [1] L. Matz, M. Haas, M. O’Neill, R. Sawayda, A. Meyers, in Advanced Metallization Conference (AMC) (eds A. J. McKerrow, Y. Shacham-Diamand, S. Shingubara and Y. Shimogaki), Materials Research Society, Warrandale, PA, 463 (2009) Figure 1
- Published
- 2019
4. Novel Multinuclear Cyclic and V‐shaped Cuprous Clusters with Short and Unsupported Cu(I)‐Cu(I) Separations
- Author
-
GH Lee, Po‐Hsien Ho, Shie-Ming Peng, Ming‐Shih Tsai, and Chi-Ming Che
- Subjects
Metal ,Crystallography ,Photoluminescence ,Absorption spectroscopy ,Chemistry ,visual_art ,visual_art.visual_art_medium ,Molecular orbital ,Aromaticity ,General Chemistry ,Time-dependent density functional theory - Abstract
A series of novel cyclic and V-shaped cuprous clusters, (HNEt3)2 [Cu6(bmsapy)4] (1), [Cu8(banlny)4] (2) and [Cu6(ansny)4Cl] (CF3SO3) (3), were synthesized. Compounds (1) and (2) possess a similar cyclic metal structural arrangement, and the metallic arrangement of compound (2) is as in (1) with an insertion of a pair of ligand-unbridged Cu(I) atoms. Compounds (1) and (2) both have unusual ligand-unbridged Cu(I)-Cu(I) separations, but compound (3) does not. The ligand-unbridged Cu(I)-Cu(I) distances in (2) are shorter than those in (1). In absorption spectra, compound (2) displayed red shifts from (1) due to the increase in nuclearity and the number of the aromatic rings in ligands. TDDFT calculations suggest that in HOMOs, the major molecular orbital contribution is from the six Cu(I) atoms implying that the photoluminescent property of (1) is dependent on the cyclic hexacopper arrangement rather than that of (HNEt3) [Cu3(btsapy)2] (4).
- Published
- 2013
5. (Invited) Poly-Silicon Opening Polishing Slurry Development for Advanced HKMG Process
- Author
-
Hung-Tsung Huang, Kuo Chung Wu, Ming-Shih Tsai, and Ming Chi Yeh
- Subjects
inorganic chemicals ,Materials science ,Silicon ,Metallurgy ,technology, industry, and agriculture ,chemistry.chemical_element ,Polishing ,equipment and supplies ,complex mixtures ,Manufacturing engineering ,stomatognathic diseases ,chemistry ,Scientific method ,Slurry - Abstract
Tunable silicon nitride to silicon oxide selectivity plays the key role to meet the stringent requirement of poly silicon opening polishing in the advanced HKMG applications. Independent silicon oxide removal rates tuning by surface modified colloidal silica abrasives, specific additives and abrasive loading has been demonstrated, accompanied with high silicon nitride removal rates in acidic slurry pH and unique additive, large selectivity window can be obtained.
- Published
- 2013
6. Tuning the shape and curvature of micromachined cantilevers using multiple plasma treatments
- Author
-
Ming-Shih Tsai, Ming-Chuen Yip, Cheng-Yu Lin, Weileun Fang, Sheng-Ta Lee, and Wang-Shen Su
- Subjects
Beam diameter ,Cantilever ,Materials science ,Plasma surface ,business.industry ,Metals and Alloys ,Plasma ,Condensed Matter Physics ,Curvature ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Optics ,Deflection (engineering) ,Beam length ,Electrical and Electronic Engineering ,business ,Instrumentation - Abstract
This study reports a novel method for tuning the deflection profile of micromachined cantilever by means of multiple plasma surface modification. In short, the shape and curvature of the cantilever can be tuned using the combination of plasma treatments along the beam length and the beam width, respectively. To demonstrate the feasibility of this approach, various NH 3 plasma treatments were employed to tune the deflection profile of cantilevers made of SiO 2 film. The deflection profiles predicted by the simulation and analysis agree with that determined from measurement.
- Published
- 2006
7. Analysis of the Tribological Mechanisms Arising in the Chemical Mechanical Polishing of Copper-Film Wafers When Using a Pad With Concentric Grooves
- Author
-
Ming Shih Tsai, Yu Long Ouyang, Sheng-Chao Chen, and Jen Fin Lin
- Subjects
Engineering drawing ,Materials science ,Mechanical Engineering ,Polishing ,Surfaces and Interfaces ,Reynolds equation ,Surfaces, Coatings and Films ,Mechanics of Materials ,Chemical-mechanical planarization ,Surface roughness ,Lubrication ,Wafer ,Composite material ,Groove (engineering) ,Pressure gradient - Abstract
An average Reynolds equation considering the effects of a pad’s annular grooves and surface roughness is developed in this study to examine mixed lubrication in the chemical mechanical polishing (CMP) of a copper-film silicon wafer. This equation is obtained on the basis of the principle that the pressure gradients and volume flow rates in the direction normal to the border of a groove and a plateau as well as on two sides of the border must be equal. The continuities of volume flow rates and hydrodynamic pressure on two sides of the border as well as in the direction normal to the border of a groove and a plateau are satisfied in order to develop this Reynolds equation. The removal rate model is obtained by taking the concentration of active abrasives in the slurry and the pad grooves into account. Theoretical results are also shown in order to investigate the effects of changing the groove depth and width on the removal rate and the nonuniformity of a copper-film wafer. The application of concentric grooves in general can lower the suction pressure (negative pressure) formed between the pad and the wafer, elevate the wear rate, and reduce the nonuniformity. However, the influences of the groove depth on wear rate and nonuniformity become insignificant when the depth is excessively large. The removal rate is reduced by increasing the groove width such that it finally approaches to the result of a nongrooved pad.
- Published
- 2006
8. Mechanistic Understanding of Low κ Organosilicate Glass Film Planarization.
- Author
-
Mallikarjunan, Anupama, Achtyl, Jennifer, Rung-Je Yang, Chen-Yuan Huang, Shih-Hsuan Chao, Lu Gan, Tamboli, Dnyanesh, Ridgeway, Robert, Schlueter, James, Ming-Shih Tsai, Li, Chris, and O'Neill, Mark
- Published
- 2019
- Full Text
- View/download PDF
9. Effect of plating current density and annealing on impurities in electroplated Cu film
- Author
-
Hsien-Ping Feng, Shih Chieh Chang, Ying-Lang Wang, Ming-Shih Tsai, Chi-Wen Liu, and Gwo-Jen Hwang
- Subjects
Materials science ,Annealing (metallurgy) ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Activation energy ,Condensed Matter Physics ,Copper ,Surfaces, Coatings and Films ,Secondary ion mass spectrometry ,chemistry ,Impurity ,Desorption ,Electroplating ,Current density - Abstract
This study uses secondary-ion-mass spectrometry to examine the effects of plating current density and annealing temperature on the nature of electroplated copper (Cu) films. The experimental results reveal that high levels of impurities, such as C, O, S, and Cl, are incorporated into Cu deposits at the lower current density region while superfilling occurs. The C and O impurities can be released from the plated films by thermal annealing, while S and Cl cannot. This work proposes a possible mechanism based on bond strength to explain the phenomena. Rapid C and O desorption is observed when the films are first cycled to 220°C immediately after electroplating. The activation energy of C desorption is found to be approximately 9.8kJ∕mol. For Cu electroplating, this investigation suggests that high plating current density and an adequate annealing temperature are required to reduce impurities.
- Published
- 2005
10. Effects of wetting ability of plating electrolyte on Cu seed layer for electroplated copper film
- Author
-
Ming-Shih Tsai, Chi-Wen Liu, Ying-Lang Wang, and Jung-Chih Tsao
- Subjects
Materials science ,Analytical chemistry ,food and beverages ,chemistry.chemical_element ,Surfaces and Interfaces ,Electrolyte ,Condensed Matter Physics ,Copper ,Chloride ,Surfaces, Coatings and Films ,Contact angle ,Adsorption ,Chemical engineering ,chemistry ,medicine ,Copper plating ,Wetting ,Electroplating ,medicine.drug - Abstract
This work examines the impact of the wetting ability of a plating electrolyte on the Cu seed layer on copper electroplating performance. The contact angle of the electrolyte on the Cu seed is highly sensitive to additive decomposition in the electrolyte, as well as being sensitive to Cu seed self-annealing. The contact angle of the electrolyte decreases in the presence of polyethylene glycol (PEG), which forms complexes with chloride ions and is absorbed on the Cu surface during plating. However, the consumption of the additives, particularly that of chloride ions, degrades this adsorption. Additionally, the increase in Cu-seed surface roughness owing to self-annealing also reduces electrolyte wettability, compared to the as-received Cu-seed layer. The poor wettability can be improved with additional rinsing. The x-ray photoelectron spectroscopy (XPS) spectrum demonstrates that the Cu surface was covered with a native cupric-hydroxide layer after rinsing, which was hydrophilic (as in the aqueous-plating b...
- Published
- 2004
11. Post-Cu CMP cleaning for colloidal silica abrasive removal
- Author
-
Ching-Fa Yeh, Po-Lin Chen, Jyh-Herng Chen, Bau-Tong Dai, and Ming-Shih Tsai
- Subjects
Copper oxide ,Materials science ,Colloidal silica ,Inorganic chemistry ,Polishing ,chemistry.chemical_element ,Condensed Matter Physics ,Copper ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Chemical-mechanical planarization ,Triton X-100 ,Wetting ,Electrical and Electronic Engineering ,Erosion corrosion of copper water tubes - Abstract
In this study, an efficient approach for the removal of colloidal silica abrasives from the polished copper surface was proposed and demonstrated. This post-chemical mechanical polishing (CMP) cleaning process combines a buffing process with dilute HNO"3/benzotriazole (BTA) aqueous solution for copper surface passivation and a polyvinyl alcohol (PVA) brush scrubbing process with wetting surfactants, Triton X-100, for colloidal silica removal. Buffing with HNO"3/BTA aqueous solution was able to remove copper oxide and form the Cu(I)-BTA hydrophobic passivation. Scrubbing with Triton X-100 surfactant is to enhance the wettability on Cu(I)-BTA surface for the removal of residual silica abrasives. The wetting ability of Triton X-100 was determined by a contact angle and surface tension measurements. It was demonstrated that silica abrasives could be removed efficiently without copper corrosion by this cleaning process.
- Published
- 2004
12. The Removal of Airborne Molecular Contamination in Cleanroom Using PTFE and Chemical Filters
- Author
-
Chih-Min Hsieh, Chih-Wen Hsiao, H. Kaneko, H. Aomi, Shiuan-Jeng Lin, Ching-Fa Yeh, Toshio Kusumi, Bau-Tong Dai, and Ming-Shih Tsai
- Subjects
Chemical process ,Materials science ,Semiconductor device fabrication ,business.industry ,Nanotechnology ,Contamination ,Condensed Matter Physics ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,Filter (aquarium) ,law.invention ,law ,Cleanroom ,Wafer ,Nanometre ,Electrical and Electronic Engineering ,Process engineering ,business ,Filtration - Abstract
Cleanroom contamination and its impact on the performance of devices are beginning to be investigated due to the increasing sensitivity of the semiconductor manufacturing process to airborne molecular contamination (AMC). A clean bench was equipped with different filter modules and then most AMC in the cleanroom and in the clean bench was detected through air-sampling and wafer-sampling experiments. Additionally, the effect of AMC on device performance was examined by electrical characterization. A combination of the NEUROFINE PTFE filter and chemical filters was found to control metal, organic, and inorganic contamination. We believe that the new combination of filters can be used to improve the manufacturing environment of devices, which are being continuously shrunk to the nanometer scale.
- Published
- 2004
13. Analysis of the Tribological Mechanisms Arising in the Chemical Mechanical Polishing of Copper-Film Wafers
- Author
-
Yang Hui Chang, Ping Lin Kuo, Ming Shih Tsai, Junne Dar Chern, and Jen Fin Lin
- Subjects
Engineering drawing ,Materials science ,Normal force ,Passivation ,Mechanical Engineering ,Abrasive ,Polishing ,Surfaces and Interfaces ,Computer Science::Other ,Surfaces, Coatings and Films ,Contact mechanics ,Mechanics of Materials ,Chemical-mechanical planarization ,Wafer ,Composite material ,Contact area - Abstract
In the chemical mechanical planarization of a copper-film silicon wafer, the average Reynolds equation with flow factors has also been developed for a cylindrical coordinate system to study the mixed lubrication. The pad’s elastic deformations are considered in the evaluation of the contact pressure arising at the interface of a pad’s asperity and the wafer. The normal force acting on the wafer by an abrasive particle is thus obtained in order to calculate the elastic and plastic deformations of the copper film with a thin passivation layer. A theoretical abrasive wear model is developed to evaluate the removal rate of the copper film. The increase in the real contact area of an abrasive, due to the frictional force produced at the interface by adhesive wear, is also taken into account. A nano tester was applied to measure the composite hardness and Young’s modulus of the copper-film wafer with a passivation layer. These two material properties are of importance in the calculation of wafer’s theoretical removal rate. Experimental results for the removal rates of the copper film are exhibited to compare with that predicted by the present theoretical model. Fairly good agreement exists in the trends of the removal rates varying in the radial direction and the mean removal rates evaluated at different operating conditions.
- Published
- 2004
14. The application of electrochemical metrologies for investigating chemical mechanical polishing of Al with a Ti barrier layer
- Author
-
Ming Shih Tsai, Bau Tong Dai, Ying-Lang Wang, M. S. Feng, Jin Kun Lan, Chyung Ay, Shao Yu Chiu, and Chuan-Pu Liu
- Subjects
Materials science ,Drop (liquid) ,Metallurgy ,Polishing ,Condensed Matter Physics ,Electrochemistry ,Metal ,Barrier layer ,Chemical engineering ,visual_art ,Chemical-mechanical planarization ,Electrode ,visual_art.visual_art_medium ,Galvanic cell ,General Materials Science - Abstract
In situ electrochemical measurements were performed for Al and Ti disks in various slurries during polishing and static conditions. The electrochemical results obtained from the corrosion potential drop of Al polishing have verified that the maximum removal rate of metal CMP can be achieved when the removal rate of the surface oxide was equal to its growth rate. However, Ti polishing did not exist maximum removal rate. The effects of H 2 O 2 %, pH values and pressure on the Al and Ti polishing behaviors were explored by using potentiodynamic scan. The corrosion potential drop was found to be a good index for polishing removal rate. The corrosion potential drop changed with increasing H 2 O 2 % for Al polishing and there existed a maximum value. But the corrosion potential drop increased with increasing H 2 O 2 % for Ti polishing. The pH value had different effects on Al and Ti polishing. Higher pH values gave higher Al removal rate but lower Ti removal rate. The Al polishing showed more pressure sensitive than Ti polishing did. The galvanic current was measured for Al/Ti polishing. For slurry with 6 vol.% H 2 O 2 and pH=4, the abraded Al electrode obtained a negative current, which implied Ti oxidation was enhanced.
- Published
- 2003
15. The structural transformation and properties of spin-on poly(silsesquioxane) films by thermal curing
- Author
-
Bao-Tong Dai, Ming-Shih Tsai, Chang-Chung Yang, Wen-Chang Chen, and Wei Chih Liu
- Subjects
Steric effects ,Permittivity ,Materials science ,Hydrogen ,chemistry.chemical_element ,Dielectric ,Condensed Matter Physics ,Silsesquioxane ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Polymer chemistry ,Materials Chemistry ,Ceramics and Composites ,Porosity ,Pendant group ,Refractive index - Abstract
In this study, the structural transformation and properties of five commercially available poly(silsesquioxanes) by thermal curing were investigated, including poly(hydrogen silsesquioxanes) (HSQ and T12), and poly(methylsilsesquioxanes) (MSQ, T7 and T9). These materials with a different cage/network ratio and side groups (Si–H and Si–CH3). The FTIR spectra show that the poly(silsesquioxane) films have different contents of the Si–O–Si cage and network structures, which significantly affects the refractive index and dielectric constant. The shifting of the Si–O–Si network band in the FTIR spectra can be correlated with their molecular structures. The refractive indices and dielectric constants of the studied poly(silsesquioxane) films increase with increasing the Si–O–Si network content. The retention of the Si–H or Si–CH3 side group suggests the existence of the cage structures in the poly(silsesquioxane) films. The Si–O–Si cage structure results in a larger free volume than the Si–O–Si network structure in the poly(silsesquioxane) films and thus reduces the refractive index and dielectric constant. It is supported by the porosity result. The order of the refractive index in the studied poly(silsesquioxanes) films is T12>HSQ for the Si–H side group and T7>T9>MSQ with the Si–CH3 side group, which can be correlated with the Si–O–Si network content. The poly(silsesquioxane) film with the Si–CH3 side group has a lower refractive index than the Si–H side group at the same Si–O–Si network content, which is probably due to the steric hindrance effect of the CH3 group.
- Published
- 2002
16. Chemical Mechanical Polishing of Low‐Dielectric‐Constant Polymers: Hydrogen Silsesquioxane and Methyl Silsesquioxane
- Author
-
Bau-Tong Dai, Shu‐Chun Lin, Ming-Shih Tsai, and Wen-Chang Chen
- Subjects
chemistry.chemical_classification ,Renewable Energy, Sustainability and the Environment ,Chemistry ,Abrasive ,Polishing ,Dielectric ,Polymer ,Condensed Matter Physics ,Silsesquioxane ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Chemical-mechanical planarization ,Polymer chemistry ,Materials Chemistry ,Electrochemistry ,Slurry ,Composite material ,Hydrogen silsesquioxane - Abstract
In the study, the film properties and chemical mechanical polishing (CMP) characteristics of the low‐dielectric‐constant materials hydrogen silsesquioxane (HSQ) and methyl silsesquioxane (MSQ) were presented. The Fourier transform infrared spectra, refractive index, dielectric constant, and atomic force microscopy results showed the successful preparation of the HSQ and MSQ films. The CMP characteristics of both materials were studied by two different slurries, A‐1 and B‐1. Our results showed that the CMP removal rate and nonuniformity increased with increasing the slurry solid content. The removal rate of the polished HSQ film was much larger than that of the polished MSQ film due to the hydrophobic surface of the MSQ film. The removal rate using the A‐1 slurry was larger than the B‐1 slurry for polishing both films. This result can be explained from the consideration of the isoelectric point and the electrostatic force between the abrasive surface and the film surface. The downforce pressure of the CMP process also showed a significant effect on the removal rate and the nonuniformity of the polished HSQ film. © 1999 The Electrochemical Society. All rights reserved.
- Published
- 1999
17. Chemical‐Mechanical Polishing and Material Characteristics of Plasma‐Enhanced Chemically Vapor Deposited Fluorinated Oxide Thin Films
- Author
-
Wei-Tsu Tseng, Ming-Shiann Feng, Yuan-Tsu Hsieh, Ming-Shih Tsai, and Chi-Fa Lin
- Subjects
Materials science ,Silicon ,Renewable Energy, Sustainability and the Environment ,Silicon dioxide ,Oxide ,Polishing ,chemistry.chemical_element ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Plasma-enhanced chemical vapor deposition ,Chemical-mechanical planarization ,Materials Chemistry ,Electrochemistry ,Thin film ,Elastic modulus - Abstract
The chemical-mechanical polishing (CMP) process has been proven to be the most promising method for accomplishing global planarization. In this paper, results of chemical-mechanical polishing of fluorinated silicon dioxide (SiOF) thin films are presented. Nanohardness, elastic modulus, and bonding structure of fluorinated silicon dioxides are characterized in order to evaluate their correlations with CMP performance. The results show that under fixed chemistry and mechanical parameters, the CMP removal rate increases significantly with increasing fluorine content in the oxides due to the lower hardness and elastic modulus in the films. Higher CMP removal rate is observed for fluorinated oxides polished with slurry of pH 10 relative to pH 9. Compared with undoped oxides, SiOF films are more sentisitive to chemical and moisture attacks as reflected by the post-CMP increase in refractive index.
- Published
- 1997
18. Activity Recognition by Detecting Acoustic Events for Eldercare
- Author
-
Gwo-Lang Yan, Kun-Yi Huang, Ming-shih Tsai, Yu-Hsien Chiu, and Chi-Chun Hsia
- Subjects
Sound recording and reproduction ,Activity recognition ,Engineering ,Data collection ,business.industry ,Event (computing) ,Keyword spotting ,Speech recognition ,Mel-frequency cepstrum ,Aging society ,Hidden Markov model ,business - Abstract
More and more efforts have been made to assist interdependent people in aging society today, particularly to the elderly living alone at home. This paper proposes an activity recognition system based on detection of acoustic events caused by residents within the living space. In the data collection process, an activity sound recording system with auto gain controlled and linearly amplified capability is equipped in a ward-like environment. The microphones are installed along the path from bedroom to lavatory. An activity sound database containing 105 designed scenarios is simulated and transcript in terms of 21 acoustic events such as footfall, fall down, and calling for help to form the acoustic event database. 21 Hidden Markov models (HMM) corresponding to acoustic events are trained by the acoustic event database. A keyword spotting recognition technology is adopted to recognize acoustic events and its ordered sequences for modeling activities. Acoustic features are computed by 39-dimensional mel-scaled frequency cepstral coefficients (MFCCs) with their first and second derivatives. The preliminary results show the average recognition rate of acoustic events is 91% for inside testing and 72% for outside testing respectively. The proposed approach shows an encouraging potential on the demand of modeling the regular living patterns of every variety.
- Published
- 2010
19. Novel cleaning solutions for polysilicon film post chemical mechanical polishing
- Author
-
Tan Fu Lei, W.H. Chang, Chih Peng Lu, Tien-Sheng Chao, Wen Lu Yang, Ming Chi Liaw, Ming Shih Tsai, Chao Chyi Chen, and Tung-Ming Pan
- Subjects
Aqueous solution ,Materials science ,biology ,Inorganic chemistry ,biology.organism_classification ,Electronic, Optical and Magnetic Materials ,carbohydrates (lipids) ,Metal ,Ammonium hydroxide ,chemistry.chemical_compound ,Pulmonary surfactant ,chemistry ,Impurity ,Chemical-mechanical planarization ,visual_art ,visual_art.visual_art_medium ,Tetra ,Chelation ,Electrical and Electronic Engineering - Abstract
Novel cleaning solutions were developed for post-CMP process, surfactant tetra methyl ammonium hydroxide (TMAH) and/or chelating agent ethylene diamine tetra acetic acid (EDTA) were added into the diluted ammonium hydroxide (NH/sub 4/OH+H/sub 2/O) alkaline aqueous solution to enhance removal of metallic and organic contamination. From the experimental result, it is found that the particle and metal removal efficiency and the electrical characteristics are significantly improved for post-CMP cleaning.
- Published
- 2000
20. Retardation in the chemical-mechanical polish of the boron-doped polysilicon and silicon
- Author
-
Ming Shih Tsai, Don-Gey Liu, Chih-Yuan Cheng, Ming-Sun Shieh, and Wen Luh Yang
- Subjects
Materials science ,Aqueous solution ,Dopant ,Silicon ,Inorganic chemistry ,Doping ,chemistry.chemical_element ,Polishing ,Electronic, Optical and Magnetic Materials ,chemistry ,Chemical-mechanical planarization ,Crystalline silicon ,Electrical and Electronic Engineering ,Boron - Abstract
This letter reports on the chemical-mechanical polishing (CMP) of boron-doped polysilicon and silicon. Successive polishing was carried out to investigate how the removal rate correlates to the boron concentration as a function of depth in the polysilicon and crystalline silicon. It is found that the removal of boron-doped samples is significantly retarded and strongly correlated with the doping concentration. To the author's knowledge, this work is the first report discussing the retardation effect of boron in the Si-CMP process. This effect is attributed to the activated dopant atoms which are conjectured to inhibit the hydrolysis reaction of Si-Si bonding in the alkaline aqueous solution. In our study, the retardation effect is evident for boron concentration higher than 5/spl times/10/sup 18/ cm/sup -3/. As a consequence, it may become an issue in the CMP process for those layers of selected or complemented doping.
- Published
- 2000
21. A hospital registration system using syndromes' descriptions analysis and information retrieval technology
- Author
-
Gwo-Lang Yan, Yu-Hsien Chiu, Ling-Jen Hu, and Ming-shih Tsai
- Subjects
Register (sociolinguistics) ,Vocabulary ,Information retrieval ,Relation (database) ,Medical Records Systems, Computerized ,Computer science ,Process (engineering) ,media_common.quotation_subject ,MEDLINE ,Taiwan ,Information Storage and Retrieval ,Registration system ,Syndrome ,Appointments and Schedules ,User-Computer Interface ,Patient Admission ,Vocabulary, Controlled ,Terminology as Topic ,Hospital Information Systems ,Database Management Systems ,Medical diagnosis ,media_common - Abstract
Correct registration in the hospital can avoid wasting medical resources and shorten the time of diagnoses. The purpose of this research is to build a hospital registration system to help patients register in the hospital. This system can assist patients, who are not familiar with medical topics, to determine which department they should register by the spontaneous descriptions. Patients' spontaneous descriptions of syndromes were transcribed into text formation. These descriptive texts were analyzed by the keywords punctuation process and syndromes's descriptions analysis process to get the important features, including the syndrome words, degree words, affected region of body, frequency, time and place. Then, an information retrieval based department making process was employed to calculate the relation score between each department and these important features. Finally, the system suggested the most possible departments they should register to the patients. In the experiment, 50 descriptions collected from 50 patients are as the input to the system. The preliminary result shows the top one correct rate is 88%. The proposed system also shows the higher performance than the baseline system.
- Published
- 2009
22. Computer-aided auscultation learning system for nursing technique instruction
- Author
-
Ling-Chen Hu, Chih-Chieh Chuang, Chun-Ju Hou, Yu-Hsien Chiu, Yen-Ting Chen, and Ming-Shih Tsai
- Subjects
Sound (medical instrument) ,Multimedia ,medicine.diagnostic_test ,business.industry ,Learning environment ,Teaching method ,Respiration ,Teaching ,Computer-Assisted Instruction ,Lung sound ,Signal Processing, Computer-Assisted ,Auscultation ,computer.software_genre ,Nursing ,ComputingMilieux_COMPUTERSANDEDUCATION ,Medicine ,Humans ,Computer-aided auscultation ,business ,Education, Nursing ,computer ,Lung ,Graphical user interface - Abstract
Pulmonary auscultation is a physical assessment skill learned by nursing students for examining the respiratory system. Generally, a sound simulator equipped mannequin is used to group teach auscultation techniques via classroom demonstration. However, nursing students cannot readily duplicate this learning environment for self-study. The advancement of electronic and digital signal processing technologies facilitates simulating this learning environment. This study aims to develop a computer-aided auscultation learning system for assisting teachers and nursing students in auscultation teaching and learning. This system provides teachers with signal recording and processing of lung sounds and immediate playback of lung sounds for students. A graphical user interface allows teachers to control the measuring device, draw lung sound waveforms, highlight lung sound segments of interest, and include descriptive text. Effects on learning lung sound auscultation were evaluated for verifying the feasibility of the system. Fifteen nursing students voluntarily participated in the repeated experiment. The results of a paired t test showed that auscultative abilities of the students were significantly improved by using the computer-aided auscultation learning system.
- Published
- 2009
23. An Acoustically-Analytic Approach to Behavioral Patterns for Monitoring Living Activities
- Author
-
Kao Chi Chung, Kuang Che Liu, Ming Shih Tsai, Yu-Hsien Chiu, and Gwo Lang Yan
- Subjects
geography ,Engineering ,geography.geographical_feature_category ,Activities of daily living ,Event (computing) ,business.industry ,Behavioral pattern ,Machine learning ,computer.software_genre ,ALARM ,Fuzzy clusters ,Risk prevention ,Tracking data ,Artificial intelligence ,business ,computer ,Cartography ,Sound (geography) - Abstract
Risk prevention and alarm are crucial for home care of aged people who live alone. In this paper, an acousticallyanalytic approach is proposed to extract behavioral patterns for modeling and monitoring living activities. An experimental environment was established for collecting sound tracking data of behaviors from daily activities. Each sound tracking data was transcribed to the corresponding sound event sequences by caregivers and psychologists. A living activities mining algorithm is applied to extract meaningful sequences of sound event as behavioral patterns. Then K-means algorithm is adopted to classify events into several fuzzy clusters relating to living activities for modeling behaviors in daily activities. An experimental database consists of 150 sound tracking data was established by collecting three guided behaviors included rolled out of bed to drink water, go to the toilet and watch the fish jar from 5 subjects in two weeks. 476 meaningful sequences of sound events were explored and clustered into 32 quasi-activities. These quasi-activities were further concluded into 5 kinds of behavioral patterns for modeling living activities. The preliminary result shows the potential for modeling and proactively detecting abnormal behaviors or changes of the aged.
- Published
- 2009
24. A novel self-aligned T-shaped gate process for deep submicron Si MOSFET's fabrication
- Author
-
Wen-Fa Wu, Tiao-Yuan Huang, Rong-Png Yang, R. Lin, Horng-Chih Lin, Ming-Shih Tsai, and Tien-Sheng Chao
- Subjects
Fabrication ,Materials science ,business.industry ,Electrical engineering ,Polishing ,Electronic, Optical and Magnetic Materials ,Gate oxide ,Etching (microfabrication) ,Chemical-mechanical planarization ,Electrode ,MOSFET ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,business - Abstract
T-shaped gate electrode is highly desired for high-speed FET fabrication since it can significantly reduce the gate resistance. In this study, we propose and demonstrate a self-aligned method of forming T-shaped gate which is suitable for ULSI Si-MOSFET's fabrication. This method employs CMP planarization, BOE selective etching and poly-Si sidewall spacer techniques to form the T-shaped poly-Si gate structure. Ti and Co silicidation were also incorporated to demonstrate the effectiveness of this process. Our experimental results indicate that the proposed process not only reduces the parasitic gate resistance, but also improves the thermal stability of the gate structure.
- Published
- 1998
25. 3D Lithography and Deposition on Highly Structured Surfaces Using Plasma Surface Modification, SAM Coating, and Contact Displacement Electroless Plating
- Author
-
Weileun Fang, Ming-Shih Tsai, Wang-Shen Su, and Sheng-Ta Lee
- Subjects
Fabrication ,Materials science ,Coating ,Plating ,Electrode ,engineering ,Deposition (phase transition) ,Nanotechnology ,X-ray lithography ,Composite material ,engineering.material ,Lithography ,Plasma processing - Abstract
This study presents a simple process to realize the lithography and deposition on a complicated 3D substrate surface conformally. The 3D lithography and patterning on highly structured surface is implemented using the SAM coating and the plasma treatment. Moreover, the selective film deposition on 3D surface and even underneath the suspended microstructures is realized using the contact displacement electroless plating (CDE plating). In applications, the Cu film was conformally plated and patterned on a Si substrate with 50μm~200μm deep cavities and 54.7°~90° sidewalls. Moreover, the Cu electrode underneath suspended microbeams was also plated.
- Published
- 2006
26. Control the shape and curvature of micromachined cantilever using multiple plasma chemistry bonding technology
- Author
-
Weileun Fang, Cheng-Yu Lin, Sheng-Ta Lee, Ming-Shih Tsai, and Wang-Shen Su
- Subjects
Beam diameter ,Surface micromachining ,Cantilever ,Materials science ,Optics ,Deflection (engineering) ,business.industry ,Analytical chemistry ,Surface modification ,Plasma ,Thin film ,Curvature ,business - Abstract
This study reports a novel method for tuning the deflection profile of micromachined cantilever by means of multiple plasma surface modification. In short, the shape and curvature of the cantilever can be tuned using the combination of plasma treatments along the beam length and the beam width, respectively. To demonstrate the feasibility of this approach, various NH/sub 3/ plasma treatments were employed to tune the deflection profile of cantilevers made of SiO/sub 2/ film. The deflection profiles predicted by the simulation and analysis agree with that determined from measurement.
- Published
- 2005
27. A novel particle assembly template using plasma surface modification and self-assembly monolayer for nano/ micro patterns
- Author
-
Weileun Fang, Wang-Shen Su, and Ming-Shih Tsai
- Subjects
Contact angle ,chemistry.chemical_compound ,Materials science ,chemistry ,Colloidal silica ,Nano ,Monolayer ,technology, industry, and agriculture ,Nanoparticle ,Particle ,Nanotechnology ,Polystyrene ,Surface energy - Abstract
A novel self-assembly method of particles on the specific hydrophilic pattern regions to fabricate nano/micro patterns has been investigated in this study. The surface hydrophilicity modification is employed to tune the contact angle of droplet. Control of surface energy was investigated in this study for finely tuning the shape and layer number of particles assembly. The micro/nano patterns formed by commercial polystyrene (PS) and colloidal silica aqueous suspension (Bayer-50CK) particles on silicon substrates after O/sub 2/ and H/sub 2/ plasma treatments were demonstrated.
- Published
- 2005
28. Experimental Investigation on the Roles of Chemical Corrosion and Mechanical Polishing on Copper CMP
- Author
-
Ming-Shih Tsai, Jhy-Cherng Tsai, Bau-Tong Dai, and Yaw-Yi Shieh
- Subjects
Stress (mechanics) ,Materials science ,chemistry ,Annealing (metallurgy) ,Chemical-mechanical planarization ,Metallurgy ,Surface roughness ,Polishing ,chemistry.chemical_element ,Wafer ,Copper ,Corrosion - Abstract
This paper is an experimental investigation on the roles of chemical corrosion and mechanical polishing of the chemical mechanical polishing (CMP) of wafers with copper film of 1,000 nm thickness. Three types of experiments are designed and conducted: chemical corrosion, mechanical polishing, and CMP with φ0.3μm Al2 O3 as abrasives. The results showed that copper films after PVD and annealing sustain tensile stress that intensifies corrosion rate. In general, the stress of copper film increases at higher annealing temperature and the corrosion rate increases correspondingly though the relationship is weak. The polishing rate of pure mechanical polishing is about the same as that of pure chemical corrosion, but surface roughness of mechanical polishing and CMP are much better than that of chemical corrosion. Furthermore, the removal rate of mechanical polishing and chemical corrosion, about 2nm/min, are relatively low compared to that of CMP, about 30nm/min, it indicates that the removal mechanism of CMP is not simply a linear superposition of chemical corrosion and mechanical polishing. The strong interaction and the combined reaction of chemical corrosion and mechanical polishing need further investigation.Copyright © 2004 by ASME
- Published
- 2004
29. Tuning the Mechanical Properties of Poly-silicon Film by Surface Modification Using Plasma Treatment
- Author
-
Weileun Fang, Ming-Shih Tsai, and Wang-Shen Su
- Subjects
Microelectromechanical systems ,Materials science ,Silicon ,chemistry ,Surface modification ,Modulus ,chemistry.chemical_element ,Plasma ,Thin film ,Composite material ,Layer (electronics) ,Elastic modulus - Abstract
The mechanical properties of thin film are very critical for the performance of MEMS devices. Since Poly-silicon film is of great use in MEMS, this study investigates the surface modification by various plasma treatments to finely tune the chemical and mechanical properties of poly-silicon film. Various plasma treatments, including H2, O2, and NH3, were implemented to modify the original Si-Si film bonding, Young's modulus, and hardness of poly-silicon film. These were significant Si-O, Si-OH/Si-H and Si-NH2/Si-N bonds formed after O2, H2 and NH3 plasma treatment, respectively. According to the H analysis from SIMS depth profile of, the thickness of surface modified layer would be ranged from 50 to 120 nm. In summary, the surface modification with H2 plasma can reduce the elastic modulus of poly-silicon film for about 32.3%; moreover, the following vacuum annealing will further reduce the elastic modulus for about 60.2%. Therefore, surface modification with an adequate plasma treatment would be an effective method to change the chemical and mechanical properties of poly-silicon film.
- Published
- 2004
30. CMP of polyimide for low-k dielectric application in ULSI
- Author
-
Ya-Li Tai, Bau-Tong Dai, I-Chung Tung, Ming-Shih Tsai, and Ming-Shiann Feng
- Subjects
Permittivity ,chemistry.chemical_compound ,Materials science ,Chemical engineering ,chemistry ,Chemical-mechanical planarization ,Oxide ,Slurry ,Electronic engineering ,Low-k dielectric ,Wetting ,Dielectric ,Polyimide - Abstract
Polyimide CMP is investigated for its feasibility in IMD planarization applications. The polish rates of polyimide are found to be heavily dependent upon the degree of imidization and hydroxyl activity in silica-based alkaline slurry. TMAH, tetra-methyl-ammonium hydroxide, added into the slurry enhances the removal rate of polyimide due to the improved wettability on the hydrophobic polyimide surface. Surface planarity is degraded during CMP, but can be significantly improved by a curing after CMP. By means of bias-temperature-stress analysis, it is found that mobile ions, like K/sup +/ and Na/sup +/, do not diffuse into the bulk of the polished film. Dielectric constant and leakage current density of polyimide being polished do not deteriorate, indicating polyimide directly capped with an oxide layer is promising for use as IMDs.
- Published
- 2003
31. Tuning the Mechanical Properties of SiO2 Thin Film for MEMS Application
- Author
-
Weileun Fang, Ming-Shih Tsai, and Wang-Shen Su
- Subjects
Materials science ,Cantilever ,Deflection (engineering) ,Residual stress ,Modulus ,Plasma ,Thin film ,Composite material ,Deformation (engineering) ,Elastic modulus - Abstract
This study reported a novel method for tuning thin film mechanical properties by means of plasma surface modification. In order to demonstrate the feasibility of this approach, various plasma treatments, including O2, H2, NH3 atmospheres, were implemented to tune the Young's modulus and residual stress of SiO2 film. Without plasma treatment, the static tip deflection of 200μm long SiO2 cantilever was 9.01μm. After treatment with H2, O2, and NH3 plasma, the tip deformation of the treated cantilevers became 10.22μm, 8.28μm, and -6.84μm respectively. The Young's modulus of the SiO2 cantilever without plasma treatment was 76.3GPa. After treated with H2, O2, NH3 plasma, the Young's modului of those treated cantilevers became 70.8 GPa, 74.7 GPa, and 71.4 GPa, respectively. Hence, after H2 and NH3 plasma treatment, the equivalent elastic modulus of SiO2 cantilever could be reduced about 7%.
- Published
- 2003
32. The effect of magnitudes and duration of pressure on cerebral cortex in a rat model
- Author
-
You-Li Chou, Guan-Liang Chang, Ming-Shih Tsai, and Ching-Liang Shen
- Subjects
Male ,Intracranial Pressure ,medicine.medical_treatment ,Hydrostatic pressure ,Central nervous system ,Rats, Sprague-Dawley ,Traction ,Physiology (medical) ,medicine ,Hydrostatic Pressure ,Animals ,Intracranial pressure ,Cerebral Cortex ,business.industry ,Central venous pressure ,Biomechanics ,General Medicine ,Anatomy ,Traction (orthopedics) ,Elasticity ,Rats ,medicine.anatomical_structure ,Neurology ,Cerebral cortex ,Anesthesia ,Models, Animal ,Surgery ,Neurology (clinical) ,Neuron ,business ,Craniotomy - Abstract
The aim of this biomechanical study was to investigate the pressure-time-damage relationship on the cerebral cortex using a rat model. During brain surgery, additional cortical injuries induced by traction have been an important clinical issue. A total of 84 rats underwent a unilateral craniectomy, and conduction by hydrostatic pressure loading through a modified central venous pressure device with various combinations of magnitudes ranging from 0.5 to 10cm H2O and duration from 0.5 to 20 minutes was then performed. Histopathological examination has exhibited three patterns of clustered, spotted damaged neurons and undamaged neuron. Two best-fitted exponential curves were derived from the data to establish th e damaged, critical and tolerable loadings responsible for the neuron viability. This research provides information to enhance understanding of the additional cortex injuries induced by traction. Furthermore, the results may have implications in providin g clinical guidance and/or development of alarm systems for minimising cortical damage during surgery.
- Published
- 2001
33. Multiple actinomyces brain abscesses: case report
- Author
-
Ching-Liang Shen, Jia-Jiunn Tarn, Keng-Shing Liu, Ming-Shih Tsai, and You-Li Chou
- Subjects
Adult ,Male ,medicine.medical_specialty ,Stereotactic surgery ,Sulfamethoxazole ,Brain Abscess ,Penicillins ,Actinomycosis ,Central nervous system disease ,Mucoepidermoid carcinoma ,Physiology (medical) ,medicine ,Humans ,Abscess ,Intracranial pressure ,Lung ,biology ,business.industry ,Penicillin G ,General Medicine ,biology.organism_classification ,medicine.disease ,Surgery ,Anti-Bacterial Agents ,medicine.anatomical_structure ,Neurology ,Neurology (clinical) ,business ,Tomography, X-Ray Computed ,Actinomyces - Abstract
A case of multiple cerebral abscesses caused by actinomyces is reported in a 37 year old male with mucoepidermoid carcinoma of the right lung. In conjunction with penicillin, sulfonamide and erythromycin, the patient underwent stereotactic surgery for a spiration of the brain abscesses under (CT). The bacteria, considered to originate from the right lung, were identified from the abscess material obtained at surgery. Using proper therapy to control infection and intracranial pressure is important. A lon g term follow up with frequent computerised tomography evaluation has been conducted and the patient outcome has been successful recovery.
- Published
- 2001
34. Novel two-step AI CMP process for overcoming pattern geometry effects
- Author
-
Ming-Shih Tsai, Jias-Sheng Lin, and Bau-Tong Dai
- Subjects
Materials science ,chemistry ,Chemical-mechanical planarization ,Metallurgy ,Surface roughness ,Copper interconnect ,chemistry.chemical_element ,Polishing ,Process window ,Geometry ,Metallizing ,Surface finishing ,Titanium - Abstract
It is important and critical to obtain reasonable removal rate, low polish non-uniformity, scratchless polished surface and no metal dishing and ILD erosion for aluminum damascene process with CMP. It is difficult to meet these requirements in a single-step polishing process because lower removal rate of titanium, known as polish-inert metal, results in significant over-polish of the aluminum features and severe metal dishing and ILD erosion should be obtained. Here we evaluate a novel 2-step polishing process to accomplish the damascene process more efficiently. First, the overburden aluminum was removed fast and uniformly. In our study, more than 3,000 nm/min removal rate, less than 10% polish non- uniformity and scratchless finished surface could be obtained. Next, by adjusting slurry pH, the removal rate of Al could be about as the same as that of Ti and that of SiO 2 unchanged in the meantime. By this way, it relaxes the process window to overcome the problems of the pattern geometry effects.
- Published
- 1998
35. Room temperature negative differential resistance in DNA-based molecular devices
- Author
-
Chia-Ching Chang, Peng Chung Jangjian, Ming Shih Tsai, Mei Yi Li, and Tzeng Feng Liu
- Subjects
chemistry.chemical_classification ,Physics and Astronomy (miscellaneous) ,business.industry ,Biomolecule ,Analytical chemistry ,Conductivity ,Redox ,Ion ,Metal ,chemistry ,Electrical resistivity and conductivity ,visual_art ,Electrode ,visual_art.visual_art_medium ,Optoelectronics ,business ,Voltage - Abstract
A molecular device fabricated from metallic deoxyribonucleic acid (M-DNA) exhibits a negative differential resistance (NDR) behavior. When two gold electrodes were connected by Ni2+-chelated DNA, which was converted from λ-DNA, not only was the conductivity of DNA improved, but a NDR device was formed as a full cyclic voltage sweep was applied to measure its current versus voltage characteristics at room temperature and in an ambient environment. Such electronic characteristics of a M-DNA device may have been caused by the redox reactions of Ni ions. This finding provides a simple way to construct electrical nanodevices from biological molecules.
- Published
- 2009
36. Ni2+doping DNA: a semiconducting biopolymer
- Author
-
Peng Chung Jang Jian, Chuan Mei Tsai, Ming Shih Tsai, Chia-Ching Chang, and Tzeng Feng Liu
- Subjects
Materials science ,Base pair ,Mechanical Engineering ,Schottky barrier ,Doping ,Nanowire ,Stacking ,Analytical chemistry ,Bioengineering ,General Chemistry ,Scanning probe microscopy ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Mechanics of Materials ,General Materials Science ,Electrical and Electronic Engineering ,Cyclic voltammetry ,DNA - Abstract
DNA is a one-dimensional nanowire in nature, and it may not be used in nanodevices due to its low conductivity. In order to improve the conducting property of DNA, divalent Ni(2+) are incorporated into the base pairs of DNA at pH≥8.5 and nickel DNA (Ni-DNA) is formed. Conducting scanning probe microscopy (SPM) analysis reveals that the Ni-DNA is a semiconducting biopolymer and the Schottky barrier of Ni-DNA reduces to 2 eV. Meanwhile, electrochemical analysis by cyclic voltammetry and AC impedance shows that the conductance of Ni-DNA is better than that of native DNA by a factor of approximately 20-fold. UV spectroscopy and DNA base pair mismatch analyses show that the conducting mechanism of Ni-DNA is due to electrons hopping through the π-π stacking of DNA base pairs. This biomaterial is a designable one-dimensional semiconducting polymer for usage in nanodevices.
- Published
- 2008
37. A Three-Dimensional Microfabrication Technology on Highly Structured Surfaces
- Author
-
Ming-Shih Tsai, Wang-Shen Su, and Weileun Fang
- Subjects
Materials science ,General Chemical Engineering ,Nanotechnology ,Plasma treatment ,engineering.material ,Microstructure ,Coating ,Si substrate ,Electroless plating ,Electrochemistry ,engineering ,Deposition (phase transition) ,General Materials Science ,Electrical and Electronic Engineering ,Physical and Theoretical Chemistry ,Lithography ,Microfabrication - Abstract
This study presents a simple process to realize the lithography and deposition on a complicated three-dimensional 3D substratesurface conformally. The 3D lithography and patterning on a highly structured surface is implemented using the self-assembledmonolayer SAM coating and the plasma treatment. Moreover, the selective film deposition on a 3D surface and even underneaththe suspended microstructures is realized using the contact displacement electroless plating. In applications, the Cu film wasconformally plated and patterned on a Si substrate with 50–200 m deep cavities and 54.7–90° sidewalls. Moreover, the Cuelectrode underneath suspended microbeams was also plated.© 2006 The Electrochemical Society. DOI: 10.1149/1.2363949 All rights reserved.Manuscript submitted June 7, 2006; revised manuscript received August 11, 2006. Available electronically November 3, 2006.
- Published
- 2007
38. Control the Shape of Buckling Micromachined Beam using Plasma Chemistry Bonding Technology
- Author
-
Wang Shen Su, Ming Shih Tsai, Sheng Ta Lee, Ming-Chuen Yip, Weileun Fang, and Cheng-Yu Lin
- Subjects
Microelectromechanical systems ,Materials science ,Buckling ,Residual stress ,General Engineering ,General Physics and Astronomy ,Plasma ,Composite material ,Thin film ,Buckle ,Finite element method ,Beam (structure) - Abstract
In this study, we report a novel method for controlling the shape of a micromachined bridge (clamped-clamped beam) by plasma surface modification. In short, the microbridge can be tuned to either buckle upward or downward using plasma treatment. To demonstrate the feasibility of this approach, NH3 plasma treatments were employed to control the direction of buckling amplitude for a SiO2 microbridge. Furthermore, the shape of a buckling microbridge can also be adjusted by the same technique. The buckling profiles predicted by finite element analysis are in agreement with those determined from the measurement.
- Published
- 2006
39. Study of Metallothionein (MT) Protein Aggregation on Nano-porous Materials
- Author
-
Bau Ming Wang, Ming-Shih Tsai, Chia-Ching Chang, and Yewchung Sermon Wu
- Abstract
not Available.
- Published
- 2006
40. A hospital registration system using syndromes' descriptions analysis and information retrieval technology.
- Author
-
Gwo-Lang Yan, Yu-Hsien Chiu, Ling-Jen Hu, and Ming-Shih Tsai
- Published
- 2008
- Full Text
- View/download PDF
41. 3D Lithography and Deposition on Highly Structured Surfaces Using Plasma Surface Modification, SAM Coating, and Contact Displacement Electroless Plating.
- Author
-
Wang-Shen Su, Sheng-Ta Lee, Ming-Shih Tsai, and Weileun Fang
- Published
- 2006
- Full Text
- View/download PDF
42. Superpolishing for Planarizing Copper Damascene Interconnects
- Author
-
Shau-Lin Shue, Cheng-Chung Shih, Jia-Min Shieh, Ming-Shiann Feng, Ying-Hao Li, Ying-Lang Wang, Shih-Chieh Chang, R. S. Liang, Bau-Tong Dai, and Ming-Shih Tsai
- Subjects
Materials science ,General Chemical Engineering ,Inorganic chemistry ,Copper interconnect ,Polishing ,Polyethylene glycol ,Electrolyte ,Electropolishing ,chemistry.chemical_compound ,chemistry ,Chemical-mechanical planarization ,Trench ,Electrochemistry ,General Materials Science ,Electrical and Electronic Engineering ,Physical and Theoretical Chemistry ,Dissolution - Abstract
We demonstrate a superpolishing electrolyte, which consists of acid additives in conventional Cu polishing electrolytes (H 3 PO 4 ). for efficiently planarizing Cu damascene features. The significant additive concentration gradient in features, resulting in a selective Cu dissolution rate within features, is explored as a major mechanism that yields such electrolytes with high planarization efficiency. Moreover, another additive. polyethylene glycol as a suppressor, is also employed to reduce oxygen bubbling on polished films. Consequently, a smooth surface with a complete step height elimination is obtained in a 70 μm trench after electropolishing.
- Published
- 2003
43. Structure of a linear unsymmetrical trinuclear cobalt(<scp>II</scp>) complex with a localized COII–COIIbond: dichlorotetrakis[µ3-bis(2-pyridyl)amido]tricobalt(<scp>II</scp>)
- Author
-
Ming-Chu Cheng, Ming-Shih Tsai, En-Che Yang, and Shie-Ming Peng
- Subjects
Crystallography ,Chemistry ,Stereochemistry ,Spin crossover ,Ligand ,Molecular Medicine ,chemistry.chemical_element ,Crystal structure ,Extended metal atom chains ,Cobalt ,Ion - Abstract
The synthesis and X-ray crystal structure of a linear unsymmetrical trinuclear cobalt(II) complex with a syn–syn bis(2-pyridyl)amido ligand, possessing a short, localized CoII–CoII bond and a spin crossover square-pyramidal CoII ion, is described.
- Published
- 1994
44. The Removal Selectivity of Titanium and Aluminum in Chemical Mechanical Planarization
- Author
-
Shao-Yu Chiu, Jyh-Wei Hsu, Bau-Tong Dai, Ying-Lang Wang, Ming-Shih Tsai, Ming-Shiann Feng, and Han C. Shih
- Subjects
inorganic chemicals ,Materials science ,Passivation ,Renewable Energy, Sustainability and the Environment ,Metallurgy ,technology, industry, and agriculture ,Oxide ,chemistry.chemical_element ,Condensed Matter Physics ,complex mixtures ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Dielectric spectroscopy ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Transition metal ,Aluminium ,Chemical-mechanical planarization ,Materials Chemistry ,Electrochemistry ,Dissolution ,Titanium - Abstract
The removal selectivity control of aluminum and titanium metal barrier during aluminum chemical mechanical polishing in the Damascene process is known to be critical for surface planarity without metal dishing and dielectric erosion. Unfortunately, the electrochemical behaviors of aluminum and titanium are dissimilar, as one may expect. In this study, in situ electrochemical impedance spectroscopy was carried out to investigate the influences of H 2 O 2 concentration, slurry pH, and metal oxide formation through the passivation on aluminum and titanium. As H 2 O 2 concentration increases, the measured impedance of aluminum and titanium decreases, or the oxidation rates of these two metals are enhanced upon increasing the oxidizer concentration. As the slurry pH increases, the removal rate of polished titanium increases, but it decreases for polished aluminum. The removal rate of titanium was limited to its oxidation rate and aluminum was limited to its oxide dissolution rate.
- Published
- 2002
45. Electrochemical behavior of copper chemical mechanical polishing in KIO[sub 3] slurry
- Author
-
Ming-Shiann Feng, Jyh-Wei Hsu, Han C. Shih, Ming-Shih Tsai, Shao-Yu Chiu, and Bau-Tong Dai
- Subjects
Materials science ,Passivation ,chemistry ,Chemical-mechanical planarization ,Inorganic chemistry ,Abrasive ,General Engineering ,Slurry ,Polishing ,chemistry.chemical_element ,Erosion corrosion of copper water tubes ,Copper ,Corrosion - Abstract
The electrochemical behavior of polishing copper with colloidal silica abrasive slurry formulated with KIO3 oxidizer has been investigated. For planarization of the surface morphology, the control of the surface passivation of Cu is critical during polishing. KIO3 is not only an oxidizer but also a passivator for copper in an acidic slurry by forming a CuI layer on the surface. With alkaline slurry, Cu2O is the primary corrosion product on the Cu surface. The copper corrosion rate and removal rate can be decreased dramatically with increasing slurry pH. The low corrosion resistance or high corrosion susceptibility of Cu as determined by electrochemical measurements is the basis for the high removal rates. The copper removal rate is reduced from 4600 to 650 A/min when the slurry pH is increased from 2 to 5; and the copper removal rate levels off at pH 7 with a steady-state removal rate of 200 A/min.
- Published
- 2002
46. Elimination of Dielectric Degradation for Chemical-Mechanical Planarization of Low-k Hydrogen Silisesquioxane
- Author
-
Tseung-Yuen Tseng, Ming Shih Tsai, Po-Tsun Liu, Ya Liang Yang, Tsai Tsung-Ming, Simon M. Sze, Fon Shan Yeh, Ben Chang Chen, and Ting-Chang Chang
- Subjects
inorganic chemicals ,Materials science ,Hydrogen ,General Engineering ,Dielectric degradation ,Analytical chemistry ,General Physics and Astronomy ,Polishing ,chemistry.chemical_element ,Dielectric ,Nitride ,carbohydrates (lipids) ,chemistry.chemical_compound ,chemistry ,Chemical-mechanical planarization ,parasitic diseases ,Composite material ,Hydrogen silsesquioxane ,Layer (electronics) - Abstract
The characteristics of post-chemical mechanical polishing (post-CMP) low-k hydrogen silsesquioxane (HSQ) have been investigated in this work. Dielectric properties of HSQ are damaged by the CMP process. We propose NH3-plasma treatment to improve the characteristics of post-CMP HSQ film. Both of the leakage current and dielectric constant of NH3 plasma-treated HSQ are significantly decreased as compared with those of untreated HSQ. NH3 plasma treatment slightly nitridates the surfaces of the polished HSQ film. The thin nitride layer prevents moisture absorption in the post-CMP HSQ. As a result, the dielectric degradation of HSQ after the CMP process can be effectively recovered using the NH3 plasma treatment.
- Published
- 2001
47. A linear trimeric copper(<scp>I</scp>) complex: synthesis and crystal structure of a copper(<scp>I</scp>) complex of N,N′-bis(p-tolylsulphonyl)pyridine-2,6-diaminato
- Author
-
Shie-Ming Peng and Ming-Shih Tsai
- Subjects
chemistry.chemical_compound ,Crystallography ,chemistry ,Inorganic chemistry ,X-ray crystallography ,Pyridine ,Molecular Medicine ,chemistry.chemical_element ,Molecule ,Crystal structure ,Copper ,Linear array ,Ion - Abstract
A pair of N,N′-bis(p-tolylsulphonyl)-pyridine-2,6-diaminato bridging ligands bind three copper(I) ions in a linear array with an average Cu–Cu distance of 2.467(1)A.
- Published
- 1991
48. CMP of polyimide for low-k dielectric application in ULSI.
- Author
-
Ya-Li Tai, Bau-Tong Dai, Ming-Shih Tsai, I-Chung Tung, and Ming-Shiann Feng
- Published
- 1999
- Full Text
- View/download PDF
49. The Removal of Airborne Molecular Contamination in Cleanroom Using PTFE and Chemical Filters.
- Author
-
Ching-Fa Yeh, Chih-Wen Hsiao, Shiuan-Jeng Lin, Chih-Min Hsieh, Toshio Kusumi, Hideki Aomi, Hideki Kaneko, Bau-Tong Dai, and Ming-Shih Tsai
- Subjects
POLLUTION control equipment ,CLEAN rooms ,FILTERS & filtration ,POLYTEF ,SEMICONDUCTORS ,MANUFACTURING processes ,ELECTRIC measurements ,NANOSCIENCE - Abstract
Cleanroom contamination and its impact on the performance of devices are beginning to be investigated due to the increasing sensitivity of the semiconductor manufacturing process to airborne molecular contamination (AMC). A clean bench was equipped with different filler modules and then most AMC in the cleanroom and in the clean bench was detected through air-sampling and wafer-sampling experiments. Additionally, the effect of AMC on device performance was examined by electrical characterization. A combination of the NEUROFINE PTFE filter and chemical filters was found to control metal, organic, and Inorganic contamination. We believe that the new combination of filters can be used to improve, the manufacturing environment of devices, which are being continuously shrunk to the nanometer scale. [ABSTRACT FROM AUTHOR]
- Published
- 2004
- Full Text
- View/download PDF
50. Room temperature negative differential resistance in DNA-based molecular devices.
- Author
-
Peng-Chung Jangjian, Tzeng-Feng Liu, Mei-Yi Li, Ming-Shih Tsai, and Chia-Ching Chang
- Subjects
MOLECULAR electronics ,NANOELECTROMECHANICAL systems ,DNA ,GOLD ,ELECTRODES ,OXIDATION-reduction reaction ,BIOMOLECULES - Abstract
A molecular device fabricated from metallic deoxyribonucleic acid (M-DNA) exhibits a negative differential resistance (NDR) behavior. When two gold electrodes were connected by Ni
2+ -chelated DNA, which was converted from λ-DNA, not only was the conductivity of DNA improved, but a NDR device was formed as a full cyclic voltage sweep was applied to measure its current versus voltage characteristics at room temperature and in an ambient environment. Such electronic characteristics of a M-DNA device may have been caused by the redox reactions of Ni ions. This finding provides a simple way to construct electrical nanodevices from biological molecules. [ABSTRACT FROM AUTHOR]- Published
- 2009
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.