Search

Your search keyword '"Loh, Gabriel H."' showing total 193 results

Search Constraints

Start Over You searched for: Author "Loh, Gabriel H." Remove constraint Author: "Loh, Gabriel H."
193 results on '"Loh, Gabriel H."'

Search Results

1. High-Performance and Energy-Effcient Memory Scheduler Design for Heterogeneous Systems

2. Holistic Management of the GPGPU Memory Hierarchy to Manage Warp-level Latency Tolerance

3. CODA: Enabling Co-location of Computation and Data for Near-Data Processing

4. Achieving both High Energy Efficiency and High Performance in On-Chip Communication using Hierarchical Rings with Deflection Routing

5. Enabling Efficient Dynamic Resizing of Large DRAM Caches via A Hardware Consistent Hashing Mechanism

9. Scalable Shared-Cache Management by Containing Thrashing Workloads

18. Multiobjective microarchitectural floorplanning for 2-D and 3-D ICs

22. Experiences with ML-Driven Design: A NoC Case Study

26. Generic System Calls for GPUs

29. Invited: Efficient System Architecture in the Era of Monolithic 3D: Dynamic Inter-tier Interconnect and Processing-in-Memory.

32. 3D stacked microprocessor: are we there yet?

33. Processor design in 3D die-stacking technologies

35. There and Back Again

36. Design and Analysis of an APU for Exascale Computing

39. Using TLB Speculation to Overcome Page Splintering in Virtual Machines

40. μC-States

47. HpMC

50. Achieving Exascale Capabilities through Heterogeneous Computing

Catalog

Books, media, physical & digital resources