Search

Your search keyword '"Ki-Ho Baik"' showing total 128 results

Search Constraints

Start Over You searched for: Author "Ki-Ho Baik" Remove constraint Author: "Ki-Ho Baik"
128 results on '"Ki-Ho Baik"'

Search Results

1. Litho/Design Co-Optimization and Area Scaling for the 22-nm Logic Node

2. From Computational Lithography to Computational Inspection: Inverse Lithography Technology (ILT) and Inverse Inspection Technology (IIT)

3. Inverse Lithography Technology (ILT) Enabled Source Mask Optimization (SMO)

4. High-precision self-tool CD matching with focus-target assist pattern by computational ways

5. 'Smart' source, mask, and target co-optimization to improve design related lithographically weak spots

6. Lithographic Performances of Non-Chemically Amplified Resist and Chemically Amplified Resist for 193nm Top Surface Imaging Process

7. The Extension of Optical Lithography to Define Contact Holes Required at Advanced Giga-bit-Scale Integration

8. Novel Approach for the Improvement of Post Exposure Delay Stability in ArF Resist Composed of Alicyclic Polymer

9. A Novel Alicyclic Polymers for 193nm Single Layer Resist Materials

10. Mechanism of Tungsten Atom Formation in Tungsten Etchback Using SF 6/Ar Helicon Plasma

11. Korean Road Map for micropatterning into the next century

12. ArF Single Layer Resist Composed of Alicyclic Main Chain Containing Maleic Anhydride

13. Chemically Amplified Resists Containing Vinyllactam Derivatives

14. Novel Approaches to Reduce Substrate Dependency of DUV Chemically Amplified Photoresist

15. Lens heating impact analysis and controls for critical device layers by computational method

16. Lithographic evaluation of a new wet silylation process using safe solvents and the commercial photoresist AZ 5214ETM

17. Attenuated phase shifting masks in combination with off-axis illumination: a way towards quarter micron DUV lithography for random logic applications

18. Positive tone dry development process for 0.25 .MU.m lithography

19. Overcome the process limitation by using inverse lithography technology with assist feature

20. Improvement of KrF contact layer by inverse lithography technology with assist feature

21. Optimization from design rules, source and mask, to full chip with a single computational lithography framework: level-set-methods-based inverse lithography technology (ILT)

22. Source-mask optimization (SMO): from theory to practice

23. Evaluation of lithographic benefits of using ILT techniques for 22nm-node

24. Sub-quarter micron phase shifting lithography using the desire process at 248 nm (deep UV)

25. A DUV focus/exposure latitude study based on various partial coherences with different types of processes

26. Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods

27. Mask pattern recovery by level set method based inverse inspection technology (IIT) and its application on defect auto disposition

28. Inverse lithography (ILT) mask manufacturability for full-chip device

29. Patterning of 90nm node flash contact hole with assist feature using KrF

30. Considering MEEF in inverse lithography technology (ILT) and source mask optimization (SMO)

31. Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes

32. Evaluation of inverse lithography technology for 55nm-node memory device

33. CD bias control with in-situ plasma treatment in EPSM photomask etch

34. Scatterometry measurement of nested lines, dual space, and rectangular contact CD on phase-shift masks

35. Real time monitoring of reticle etch process tool to investigate and predict critical dimension performance

36. Study of rigorous effects and polarization on phase shifting masks through simulations and in-die phase measurements

37. PAB and PEB temperature gradient methodology for CAR optimization

38. Contact hole CD and profile metrology of binary and phase shift masks: effect of modeling strategies in application of scatterometery

39. CD and profile metrology of embedded phase shift masks using scatterometry

40. Scatterometry based CD and profile metrology of chrome-less masks using optical digital profilometry

41. The design and qualification of the TEL CLEAN TRACK ACTTMM photomask coating tool at Intel

42. TaN-based EUV mask absorber etch study

43. Effect of Fluorocarbon Polymer Buildup on Etching in O 2/Ar and CF 4 / CHF 3 / Ar Plasma

44. Comparative study of two negative CAR resists: EN-024M and NEB 31

45. Improving global CD uniformity by optimizing post-exposure bake and develop sequences

46. High-resolution etching of MoSi using electron beam patterned chemically amplified resist

47. Comparative study between REAP 200 and FEP171 CAR with 50-kV raster e-beam system for sub-100-nm technology

48. Novel dual working organic bottom anti-reflective coating for 193, 248 nm lithography

49. Electron Beam Proximity Effect Correction on the MEBES eXara Mask Pattern Generator

Catalog

Books, media, physical & digital resources