127 results on '"Karen Petrillo"'
Search Results
2. Coater/developer based techniques to achieve sub-30nm CAR process on EUV stack
- Author
-
Kanzo Kato, Lior Huli, Dave Hetzer, Satoru Shimura, Shinichiro Kawakami, Soichiro Okada, Takahiro Kitano, Akihiro Sonoda, Karen Petrillo, Luciana Meli, Cody Murray, and Alex Hubbard
- Published
- 2022
3. Resist shrink characterization methodology for more accurate CD metrology
- Author
-
Mary A. Breton, Karen Petrillo, Jennifer Church, Luciana Meli, Jennifer Fullam, Stuart Sieg, Romain Lallement, Nelson M. Felix, Shimon Levi, Susan Zollinger, Felix Levitov, Sean Hand, Jason Osborne, and Weijie Wang
- Published
- 2022
4. Coater/developer and new underlayer application to sub-30nm process
- Author
-
Dave Hetzer, Alexandra Krawicz, Luciana Meli, Alex Hubbard, Cody Murray, Christopher Cole, Shinichiro Kawakami, Karen Petrillo, Soichiro Okada, Akihiro Sonoda, Kanzo Kato, Lior Huli, Naoki Shibata, Satoru Shimura, Takahiro Kitano, and Angelique Raley
- Subjects
Resist ,Process (engineering) ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Hardware_INTEGRATEDCIRCUITS ,Integrated circuit fabrication ,Hardware_PERFORMANCEANDRELIABILITY ,Lithography process ,Line edge roughness ,Process engineering ,business - Abstract
As the industry continues to push the limits of integrated circuit fabrication, reliance on EUV lithography has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at Litho and Etch together with eliminating film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns. In particular improvements in the coater/developer hardware and process are required to enable the use of a wide variety of chemicals as well as compatibility with existing systems. This paper reviews the ongoing progress in coater/developer processes that are required to enable EUV patterning sub-30nm line and space by using MOR (Metal Oxide Resist).
- Published
- 2021
5. Advanced EUV Resist Characterization using Scatterometry and Machine Learning
- Author
-
Igor Turovets, Jennifer Fullam, Mary Breton, Roy Koret, Karen Petrillo, Daniel Schmidt, and Aron Cepler
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Reference data (financial markets) ,02 engineering and technology ,Surface finish ,021001 nanoscience & nanotechnology ,Machine learning ,computer.software_genre ,01 natural sciences ,Line width ,Metrology ,Characterization (materials science) ,010309 optics ,Resist ,0103 physical sciences ,Line (geometry) ,Artificial intelligence ,0210 nano-technology ,business ,computer - Abstract
Comprehensive EUV resist characterization for line and space patterns at pitches between 32 and 40 nm using scatterometry in conjunction with machine learning algorithms is presented and discussed. Controlled experimental variations of EUV single expose resist lines were introduced by exposure dose and illumination conditions. Scanning electron microscopy and atomic force microscopy were used to collect reference data. The developed machine learning solutions allow for determination of four characteristic resist metrics with a single scatterometry measurement: line width, line height, line edge roughness, and line top roughness. Therefore, in excellent agreement with reference metrology, the scatterometry measurement, can replace four individual operations for rapid and accurate in-line monitoring.
- Published
- 2021
6. EUV single exposure via patterning at aggressive pitch
- Author
-
Mary Breton, Anuja De Silva, Jing Guo, Karen Petrillo, Nelson Felix, Jennifer Church, Martin Burkhardt, Luciana Meli, Allen H. Gabor, Cody Murray, and Lijuan Zou
- Subjects
Interconnection ,Scanner ,Materials science ,Stack (abstract data type) ,Resist ,business.industry ,Etching (microfabrication) ,Extreme ultraviolet lithography ,Optoelectronics ,Node (circuits) ,Performance improvement ,business - Abstract
As the semiconductor industry continues pushing Moore’s law to the next node, interconnect structures scaling will be a key element to performance improvement of functional devices. However, the requirements for low LCDU and defectivity of these interconnect structures have become more stringent with continuous scaling. In this paper, a fundamental study is conducted to understand the impact of various factors on the patterning of EUV single exposure vias, and to find effective strategies to shrink CD while improving LCDU and defectivity. The work is based on a 40 nm pitch orthogonal via array baseline, and probes different patterning factors including illumination, resist materials, stack, scanner, and develop methods for LCDU improvement and defectivity reduction. The patterns are transferred to bottom dielectrics to study the evolution of LCDU and defectivity during etching.
- Published
- 2021
7. The road towards aggressive pitch scaling with single exposure EUV
- Author
-
Anuja De Silva, Romain Lallement, Dario L. Goldfarb, Jennifer Church, Karen Petrillo, Dallas Lea, Cody Murray, Martin Burkhardt, Stuart A. Sieg, Nelson Felix, and Luciana Meli
- Subjects
Computer science ,law ,Extreme ultraviolet lithography ,Transistor ,Audio time-scale/pitch modification ,Process (computing) ,Electronic engineering ,Node (circuits) ,IBM ,Chip ,Line (electrical engineering) ,law.invention - Abstract
In 2015 IBM announced the first 7 nm test chip patterned with Extreme Ultraviolet Lithography (EUV) technology, enabling 36nm back end of the line (BEOL) metal pitch and self-aligned contact. Five years later, EUV has become the mainstream enabler for 7 nm node manufacturing, including the recent announcement of IBM Power10 high-performance chip. The high-performance definition of this technology, essential to IBMs server chips, has unique requirements that push the process complexity even further. In this paper, we will review some of the challenges and patterning solutions that will allow successful implementation of high-performance design definitions. We will also discuss our current efforts to extend the use of single expose EUV in the printing of interconnects to a second node, over a multi-patterning scheme, by replicating our strategy of co-optimization across all contributors to patterning success. We will review a few examples of this approach, including resolution improvements of our latest NXE3400 EUV system, all of which have allowed us to realize significant yields for our transistor interconnects at 28nm pitch. The entitlement of each process and material will be gauged through a robust characterization methodology that includes an understanding of the defect mode modulated, as well its ultimate correlation to electrical yield.
- Published
- 2021
8. Strategies for aggressive scaling of EUV multi-patterning to sub-20 nm features
- Author
-
Joe Lee, Subhadeep Kal, Qiaowei Lou, Aelan Mosden, Brendan O’Brien, Naoki Shibata, Eric Liu, Luciana Meli, Chia-Yun Hsieh, Jake Kaminsky, Katie Lutker-Lee, Christopher Cole, Chi-Chun Liu, Saumya Sharma, Akiteru Ko, Angelique Raley, Jennifer Church, Lior Huli, Cody Murray, Karen Petrillo, Shan Hu, Dave Hetzer, Henan Zhang, and Ashim Dutta
- Subjects
Mandrel ,Computer science ,Extreme ultraviolet lithography ,Process optimization ,Trimming ,Surface finish ,Critical dimension ,Lithography ,Engineering physics ,Trim - Abstract
As future patterning processes reach the limit of lithographic printability, continuous innovation in mandrel trim or shrink strategies are required to reach sub-20 nm line-space patterning. Growing concerns of lithography defectivity, mask selectivity, line edge roughness (LER), line width roughness (LWR), and critical dimension uniformity (CDU) present significant challenges towards this goal. The authors compare various alternative mandrel trim strategies to highlight potential solutions and drawbacks towards enabling successful printing of mandrels used in extreme ultraviolet (EUV) multi-patterning schemes. Through this comparison, the authors demonstrate the challenges of maintaining adequate pattern transferability while keeping aspect ratio-driven line roughness and material selectivity under control. By process partitioning, the limitations of traditional lithography and etch trimming strategies are highlighted, suggesting the need for new methods of CD reduction after the pattern has been transferred. These new trimming methods offer flexibility in CD control without negatively impacting the mandrel profile and demonstrates better tunability across different material sets, allowing for evaluation of different mask and mandrel material combinations for downstream process optimization.
- Published
- 2020
9. Exploration of pillar local CDU improvement options for AI applications (Conference Presentation)
- Author
-
Jennifer Church, Ashim Dutta, Michael Rizzolo, Chi-Chun Liu, Yann Mignot, Dominik Metzler, John C. Arnold, Luciana Meli, Nelson Felix, Karen Petrillo, Saumya Sharma, and Hao Tang
- Subjects
Magnetoresistive random-access memory ,Range (mathematics) ,Computer engineering ,Memory cell ,Computer science ,Computation ,Process control ,Context (language use) ,Applications of artificial intelligence ,Resistive random-access memory - Abstract
Emerging memory devices, such as MRAM, RRAM, and PCM, plays an important role in in-memory computation technology which can lead to significant acceleration for machine learning and AI applications.[1-3] The basic structure of these memory cell is simply a pillar made of a wide range of materials, however, the local CD uniformity (LCDU) of the pillars is especially crucial for these memory devices. The stringent LCDU requirement derives from either the intrinsic small resistance difference between the two memory states or the requirement for creating a large number of memory states within a small range of resistance. Apparently, the stochastic variation in physical dimension will correspond to the variation in resistance from cell to cell, which will affect the correct readout of the memory states and fail the device. Because the “local” CDU in this context refers to the variation within the memory array, i.e. typically within several um, it is almost impossible to correct by utilizing existing advanced tools or process control techniques. In this work, we will demonstrate four promising options to address the stochastic effect in LCDU of pillars: a) adopting new resists, b) PTD and NTD shrink, c) DSA, d) cross-SADP. Fig. 1 shows the general approach to achieve better LCDU by printing larger CD at litho and shrink by post-litho processing.[4] Here we carefully characterize two shrinking techniques and its efficacy on LCDU improvement. Fig. 2 shows two alternative approaches, i.e. DSA and cross-SADP.[5] We will carefully explore these four approaches for LCDU improvement with thorough characterization and analysis. Subsequent pattern transfer and the retention of the LCDU improvement and cost/quality trade-off will also be discussed. Defectivity learning will also be discussed.
- Published
- 2020
10. Fundamental characterization of stochastic variation for improved single-expose EUV patterning at aggressive pitch
- Author
-
Mary Breton, Anuja DeSilva, Karen Petrillo, Ravi K. Bonam, Eric R. Miller, Brad Austin, Martin Burkhardt, Shravan Matham, Chris A. Mack, Luciana Meli, Nelson Felix, Romain Lallement, Jing Guo, and Jennifer Church
- Subjects
Materials science ,Optics ,Modulation ,business.industry ,Extreme ultraviolet lithography ,Emphasis (telecommunications) ,Shot noise ,Node (circuits) ,Process window ,business ,Lithography ,Critical dimension - Abstract
With aggressive scaling of single-expose EUV lithography to the sub-7 nm node, stochastic variations play a prominent role in defining the lithographic process window. Fluctuations in photon shot noise, absorption and subsequent chemical reactions can lead to stochastic failure, directly impacting electrical yield. Fundamental characterization of the mode and magnitude of these variations is required to define the threshold for failure. In this work, a complementary series of techniques is enlisted to probe the nature and modulation of stochastic variation in single exposure EUV patterning. Unbiased line edge roughness (LER), local critical dimension uniformity (LCDU) and defect inspection techniques are employed to monitor the frequency of stochastic variations leading to failures in line/space and via patterning. Using this methodology, we explore the modulation of stochastic variations by different photoresists and illuminations, with emphasis on material and process down-selection for improved yield at the sub-7 nm node.
- Published
- 2020
11. Line top loss and line top roughness characterizations of EUV resists
- Author
-
Jason A. Osborne, Sean Hand, Weijie Wang, David Fey, Daniel Schmidt, Jennifer Fullam, Mary Breton, and Karen Petrillo
- Subjects
Optics ,Materials science ,Resist ,Optical proximity correction ,business.industry ,Scanning electron microscope ,Extreme ultraviolet lithography ,Line (geometry) ,Surface finish ,business ,Critical dimension ,Line Break - Abstract
EUV resist characterizations for line and space patterning as a function of dose and illumination conditions for varying pitches down to 28 nm are discussed. The unintentional resist line top loss (LTL) after development has been monitored and analyzed for all experimental conditions. Furthermore, line top roughness (LTR) is introduced, which is a 3 stochastic metric characterizing in-plane roughness related to the top of the resist lines. The main characterization technique employed for this study is atomic force microscopy (AFM) with novel probing algorithms as well as novel tips with diameters down to 5 nm and aspect ratios of 10:1. Additionally, results acquired by critical dimension scanning electron microscopy and optical critical dimension scatterometry are presented. It was found that the unintentional LTL is resist- and pitch-dependent and can be higher than 9 nm at 16 nm half-pitch but does not correlate with line break defect density results. However, LTR measurements of small area scans at dense line/space pitches may be used to draw conclusions about line break defect densities and hence yield. The resist specific metrics, LTR and LTL, allow for fast and early-on evaluation of new chemical formulations and help to forecast pitch- and dose-dependent performance. Furthermore, the results can be used to improve resist model accuracy for optical proximity correction calculations.
- Published
- 2020
12. Material and process improvements towards sub 36nm pitch EUV single exposure
- Author
-
Alex Hubbard, Lior Huli, Shinichiro Kawakami, Anuja De Silva, Naoki Shibata, Karen Petrillo, Luciana Meli, Dave Hetzer, Corey Lemley, Saumya Sharma, Takashi Shimoaoki, Cody Murray, Kouichirou Tanaka, and Hashimoto Yusaku
- Subjects
Single exposure ,Materials science ,Yield (engineering) ,Resist ,business.industry ,Process (engineering) ,Extreme ultraviolet lithography ,Process optimization ,Process engineering ,business ,Lithography ,High volume manufacturing - Abstract
With the insertion of EUV lithography into high volume manufacturing, mature lithographic materials and processes are required on multiple fronts. Not only do lithographic materials require optimization to reduce stochastic effects; processing techniques, underlying films, and auxiliary processes such as rinse are also known to have important impacts on the ability to yield sub-36nm pitch devices. In this paper we will describe the contribution that resist maturity, improved underlayers, rinse materials, and process parameters such as develop optimization and improved hardware have on yield improvement.
- Published
- 2019
13. The defect mitigation on EUV stack by track based technology
- Author
-
Alex Hubbard, Nelson Felix, Shinichiro Kawakami, Corey Lemley, Akiteru Ko, Takahiro Shiozawa, Kenichi Ueda, Akiko Kai, Wada Toshiharu, Naoki Shibata, Karen Petrillo, Luciana Meli, Akihiro Sonoda, Dave Hetzer, Cody Murray, Yuichiro Miyata, Lior Huli, and Hidetsugu Yano
- Subjects
Bridging (networking) ,Resist ,Coating ,Computer science ,Extreme ultraviolet lithography ,engineering ,engineering.material ,Engineering physics - Abstract
Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the second generation of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. A typical defect Pareto for EUV line-space patterning is dominated by bridging defects and pattern collapse. Regarding pattern collapse, careful attention needs to be paid to optimizing the rinse process to avoid the large forces that cause collapse during drying. In this paper, we present an optimized rinse technology that works to prevent that pattern collapse, especially on EUV line/space patterns below 40nm pitch. Additionally, this paper reviews the ongoing progress in track-based processes (coating, developer) that are required to enable EUV patterning. This work is especially focused on defect mitigation during film coating and resist developing processes, which have a direct effect on the occurrence of bridging defects during pattern transfer.
- Published
- 2019
14. Fundamental characterization of stochastic variation for improved single-expose extreme ultraviolet patterning at aggressive pitch
- Author
-
Jennifer Church, Karen Petrillo, Chris A. Mack, Brad Austin, Ravi K. Bonam, Eric R. Miller, Anuja De Silva, Nelson Felix, Luciana Meli, Martin Burkhardt, Romain Lallement, Jing Guo, Mary Breton, and Shravan Matham
- Subjects
Physics ,business.industry ,Stochastic process ,Mechanical Engineering ,Extreme ultraviolet lithography ,Shot noise ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,010309 optics ,Optics ,Resist ,law ,Extreme ultraviolet ,0103 physical sciences ,Process window ,Electrical and Electronic Engineering ,Photolithography ,0210 nano-technology ,business ,Critical dimension - Abstract
Background: With aggressive scaling of single-expose (SE) extreme ultraviolet (EUV) lithography to the sub-7-nm node, stochastic variations play a prominent role in defining the lithographic process window (PW). Fluctuations in photon shot noise, absorption, and subsequent chemical reactions can lead to stochastic failure, directly impacting electrical yield. Aim: Fundamental characterization of the mode and magnitude of these variations is required to define the threshold for failure. Approach: A complementary series of techniques is enlisted to probe the nature and modulation of stochastic variation in SE EUV patterning. Unbiased line edge roughness (LER), local critical dimension uniformity (LCDU), and defect inspection techniques are employed to monitor the frequency of stochastic variations leading to failures in line/space (L/S) and via patterning. Results: When characterizing different resists and illumination conditions, there is no change in unbiased LER or via LCDU with increasing critical dimension (CD). Stochastic defect density is correlated with CD for both L/S and via arrays, and there is a strong correlation with L/S electrical yield data. Conclusions: Traditional 3σ LER and via LCDU measurements are not sensitive enough to define and improve PW. For PW centering and yield improvement, stochastic defect inspection is a necessity.
- Published
- 2020
15. Track based techniques to improve high-resolution EUV patterning defectivity
- Author
-
Luciana Meli, Karen Petrillo, Shinichiro Kawakami, Corey Lemley, Ko Akiteru, Cody Murray, Lior Huli, Alex Hubbard, Dave Hetzer, Eric Liu, Nelson Felix, Rick Johnson, and Naoki Shibata
- Subjects
Computer science ,Extreme ultraviolet lithography ,Manufacturing quality ,High resolution ,Node (circuits) ,IBM ,Track (rail transport) ,Engineering physics - Abstract
Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the second generation of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity improvements must be continued to meet patterning performance requirements. Tokyo Electron Limited (TELTM) and IBM Corporation are continuously developing manufacturing quality processes for EUV.
- Published
- 2018
16. Defect detection strategies and process partitioning for SE EUV patterning (Conference Presentation)
- Author
-
Nelson Felix, Barry Saville, Corey Lemley, Bassem Hamieh, Christopher F. Robinson, Shinichiro Kawakami, Jeffrey C. Shearer, Chet Lenox, Yann Mignot, Takeshi Shimoaoki, Eric Liu, Hiroshi Ichinomiya, Koichiro Tanaka, Ankit Jain, Koichi Hontake, Shravan Matham, Heungsoo Choi, John C. Arnold, Luciana Meli, Anuja De Silva, Benjamin D. Briggs, Ko Akiteru, Hashimoto Yusaku, Lior Huli, Akiko Kai, Dave Hetzer, and Karen Petrillo
- Subjects
010302 applied physics ,Process (engineering) ,Computer science ,Extreme ultraviolet lithography ,Process improvement ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Reliability engineering ,0103 physical sciences ,Key (cryptography) ,Node (circuits) ,Process window ,0210 nano-technology - Abstract
The key challenge for enablement of a 2nd node of single-expose EUV patterning is understanding and mitigating the patterning-related defects that narrow the process window. Typical in-line inspection techniques, such as broadband plasma (291x) and e-beam systems, find it difficult to detect the main yield-detracting defects post-develop, and thus understanding the effects of process improvement strategies has become more challenging. New techniques and methodologies for detection of EUV lithography defects, along with judicious process partitioning, are required to develop process solutions that improve yield. This paper will first discuss alternative techniques and methodologies for detection of lithography-related defects, such as scumming and microbridging. These strategies will then be used to gain a better understanding of the effects of material property changes, process partitioning, and hardware improvements, ultimately correlating them directly with electrical yield detractors .
- Published
- 2018
17. Reduction of Critical Dimension Difference in Litho-Etch-Litho- Etch Double Patterning Process
- Author
-
Lin Lee Cheong, Jeffrey C. Shearer, Andrew Metz, John C. Arnold, Nicole Saulnier, Stuart A. Sieg, Hao Tang, and Karen Petrillo
- Subjects
Reduction (complexity) ,Materials science ,Polymers and Plastics ,business.industry ,Scientific method ,Organic Chemistry ,Materials Chemistry ,Multiple patterning ,Optoelectronics ,business ,Critical dimension - Published
- 2015
18. Coater/developer based techniques to improve high-resolution EUV patterning defectivity
- Author
-
Shinichiro Kawakami, Lior Huli, Eric Liu, Alex Hubbard, Akiteru Ko, Hashimoto Yusaku, Cody Murray, Luciana Meli, Nelson Felix, Anuja De Silva, Corey Lemley, Koichiro Tanaka, R. Johnson, Karen Petrillo, Yongan Xu, Dave Hetzer, Koichi Hontake, and Takeshi Shimoaoki
- Subjects
Computer science ,Extreme ultraviolet lithography ,0202 electrical engineering, electronic engineering, information engineering ,Manufacturing quality ,High resolution ,020201 artificial intelligence & image processing ,Node (circuits) ,02 engineering and technology ,IBM ,021001 nanoscience & nanotechnology ,0210 nano-technology ,Engineering physics - Abstract
Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the full scaling entitlement of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity and LWR/LER must be improved in terms of patterning performance. Tokyo Electron Limited (TEL™) and IBM Corporation are continuously developing manufacturing quality processes for EUV. In this paper, we review the ongoing progress in coater/developer based processes (coating, developing, baking) that are required to enable EUV patterning.
- Published
- 2017
19. Driving down defect density in composite EUV patterning film stacks
- Author
-
Anuja De Silva, Alex Hubbard, Danielle Durrant, John C. Arnold, Corey Lemley, Cody Murray, Dave Hetzer, Nelson Felix, Shinichiro Kawakami, Lior Huli, Luciana Meli, R. Johnson, Karen Petrillo, Koichi Matsunaga, and Koichi Hontake
- Subjects
Computer science ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,Blanket ,engineering.material ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,010309 optics ,Coating ,Extreme ultraviolet ,0103 physical sciences ,engineering ,Node (circuits) ,0210 nano-technology - Abstract
Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.
- Published
- 2017
20. Unexpected impact of RIE gases on lithographic films
- Author
-
Martin Glodde, Robert L. Bruce, Marinus Hopstaken, Karen Petrillo, Michael R. Saccomanno, Nelson Felix, and B. Price
- Subjects
010302 applied physics ,Materials science ,Plasma etching ,business.industry ,Nanotechnology ,02 engineering and technology ,Substrate (electronics) ,Photoresist ,Sputter deposition ,021001 nanoscience & nanotechnology ,01 natural sciences ,Sputtering ,0103 physical sciences ,Optoelectronics ,Reactive-ion etching ,0210 nano-technology ,business ,Lithography ,Layer (electronics) - Abstract
Successful pattern transfer from the photoresist into the substrate depends on robust layers of lithographic films. Typically, an alternating sequence of inorganic (most often Si containing) and organic hardmask (HM) materials is used. Pattern transfer occurs then by using reactive ion etch (RIE) chemistry that is selective to one particular layer (such as: flurorinated RIE for Si HM). The impact of these RIE gases onto the layers acting as hardmask for the layer to be etched is typically neglected, except for known sputtering effects. We found that components of the RIE gases can penetrate deep into the “inert” layers and significantly modify them. For example, nitrogen used as component to etch spin-on carbon layers was found to travel up to 70 nm deep into Si HM materials and create layers with different material properties within this film. The question is being raised and discussed to which extent this atom implantation may impact the pattern transfer of the ever shrinking features.
- Published
- 2017
21. Single-expose patterning development for EUV lithography
- Author
-
Genevieve Beique, Nicole Saulnier, Seulgi Han, John C. Arnold, Tae-Hwan Oh, Tsuyoshi Furukawa, Lovejeet Singh, Luciana Meli, Jeffrey C. Shearer, Indira Seshadri, Ramakrishnan Ayothi, Nelson Felix, Bassem Hamieh, Karen Petrillo, Lei Sun, Joe Lee, and Anuja De Silva
- Subjects
010302 applied physics ,Materials science ,Single exposure ,business.industry ,Extreme ultraviolet lithography ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Resist ,Extreme ultraviolet ,0103 physical sciences ,Multiple patterning ,Optoelectronics ,Process window ,0210 nano-technology ,business ,Lithography - Abstract
Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.
- Published
- 2017
22. Understanding EUV Shot Noise: Comparing Theory and Requirements to Experimental Evidence
- Author
-
Mark Neisser, Chandra Sarma, Kyoung Yong Cho, and Karen Petrillo
- Subjects
Physics ,Optics ,Polymers and Plastics ,business.industry ,Extreme ultraviolet lithography ,Organic Chemistry ,Materials Chemistry ,Shot noise ,Photoresist ,business - Published
- 2013
23. Successes and frontiers in extreme UV patterning
- Author
-
Karen Petrillo, Anuja De Silva, Yongan Xu, Stuart A. Sieg, Luciana Meli, Indira Seshadri, Matthew E. Colburn, Nelson Felix, Nicole Saulnier, and Derren N. Dunn
- Published
- 2016
24. EUV patterning successes and frontiers
- Author
-
Bong Cheol Kim, Bassem Hamieh, Genevieve Beique, Karen Petrillo, Eunshoo Han, Erik Verduijn, Lei Sun, Martin Burkhardt, Shinichiro Kawakami, Corey Lemley, Jongsu Kim, Dave Hetzer, Yann Mignot, Andre Labonte, R. Johnson, Stuart A. Sieg, Indira Seshadri, Geng Han, Derren N. Dunn, Nelson Felix, Eric R. Miller, Hao Tang, Koichi Hontake, Anuja De Silva, Yongan Xu, Lior Huli, Dan Corliss, Koichi Matsunaga, Christopher F. Robinson, Mary Breton, Nicole Saulnier, and Luciana Meli
- Subjects
010302 applied physics ,Process (engineering) ,Computer science ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Engineering physics ,Resist ,0103 physical sciences ,Multiple patterning ,Process window ,Node (circuits) ,IBM ,0210 nano-technology ,Lithography - Abstract
The feature scaling and patterning control required for the 7nm node has introduced EUV as a candidate lithography technology for enablement. To be established as a front-up lithography solution for those requirements, all the associated aspects with yielding a technology are also in the process of being demonstrated, such as defectivity process window through patterning transfer and electrical yield. This paper will review the current status of those metrics for 7nm at IBM, but also focus on the challenges therein as the industry begins to look beyond 7nm. To address these challenges, some of the fundamental process aspects of holistic EUV patterning are explored and characterized. This includes detailing the contrast entitlement enabled by EUV, and subsequently characterizing state-of-the-art resist printing limits to realize that entitlement. Because of the small features being considered, the limits of film thinness need to be characterized, both for the resist and underlying SiARC or inorganic hardmask, and the subsequent defectivity, both of the native films and after pattern transfer. Also, as we prepare for the next node, multipatterning techniques will be validated in light of the above, in a way that employs the enabling aspects of EUV as well. This will thus demonstrate EUV not just as a technology that can print small features, but one where all aspects of the patterning are understood and enabling of a manufacturing-worthy technology.
- Published
- 2016
25. The Physics of EUV Photoresist and How It Drives Strategies for Improvement
- Author
-
Neisser Mark, Karen Petrillo, and Kyoungyong Cho
- Subjects
Absorbance ,Materials science ,Polymers and Plastics ,business.industry ,Extreme ultraviolet lithography ,Organic Chemistry ,Materials Chemistry ,Optoelectronics ,Photoresist ,business - Published
- 2012
26. LER Limitations of Resist Thin Films
- Author
-
Karen Petrillo, William Early, Robert L. Brainard, Makato Shimizu, Shalini Sharma, Brian Cardineau, Tomohisa Fujisawa, and Ken Maruyama
- Subjects
Materials science ,Polymers and Plastics ,Resist ,business.industry ,Extreme ultraviolet lithography ,Organic Chemistry ,Materials Chemistry ,Optoelectronics ,Thin film ,business - Published
- 2012
27. Defect detection strategies and process partitioning for single-expose EUV patterning
- Author
-
Chet Lenox, Bassem Hamieh, Corey Lemley, Hiroshi Ichinomiya, Christopher F. Robinson, Ankit Jain, Ko Akiteru, Yann Mignot, Lior Huli, Karen Petrillo, Jeffrey C. Shearer, Shinichiro Kawakami, Anuja De Silva, Akiko Kai, Takeshi Shimoaoki, Koichiro Tanaka, Benjamin D. Briggs, Eric Liu, Barry Saville, John C. Arnold, Shravan Matham, Koichi Hontake, Hashimoto Yusaku, Heungsoo Choi, Luciana Meli, Dave Hetzer, and Nelson Felix
- Subjects
Process (engineering) ,Stochastic process ,Computer science ,Mechanical Engineering ,Extreme ultraviolet lithography ,Process improvement ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Reliability engineering ,010309 optics ,0103 physical sciences ,Key (cryptography) ,Node (circuits) ,Process window ,Electrical and Electronic Engineering ,0210 nano-technology - Abstract
The key challenge for enablement of a second node of single-expose EUV patterning is understanding and mitigating the patterning-related defects that narrow the process window. Typical in-line inspection techniques, such as broadband plasma and e-beam systems, find it difficult to detect the main yield-detracting defects postdevelop, and thus understanding the effects of process improvement strategies has become more challenging. New techniques and methodologies for detection of EUV lithography defects, along with judicious process partitioning, are required to develop process solutions that improve yield. This paper will first discuss alternative techniques and methodologies for detection of lithography-related defects, such as scumming and microbridging. These strategies will then be used to gain a better understanding of the effects of material property changes, process partitioning, and hardware improvements, ultimately correlating them directly with electrical yield detractors.
- Published
- 2018
28. The Photopolymer Science and Technology Award
- Author
-
Robert D. Allen, David R. Medeiros, Karen Petrillo, Dirk Pfeiffer, Anthony D. Lisi, Daniel P. Sanders, Shozo Shirai, Dah Chung Owe-Yang, Libor Vylicky, John C. Arnold, Kazumi Noda, Seiichiro Tachibana, Sean D. Burns, and Dario L. Goldfarb
- Subjects
Materials science ,Polymers and Plastics ,Organic Chemistry ,Materials Chemistry ,Art history ,Immersion lithography - Abstract
The Photopolymer Science and Technology Award No. 092100, the Best Paper Award 2009, was presented to Dario L. Goldfarba, Libor Vyklickya, Sean D. Burnsa, Karen Petrillob, John Arnoldb, Anthony Lisib, Dirk Pfeiffera, Daniel P. Sandersc, Robert D. Allenc, David R. Medeirosb, Dah Chung Owe-Yangd, Kazumi Nodae, Seiichiro Tachibanae, and Shozo Shiraie (aIBM T.J. Watson Research Center, bIBM Systems & Technology Group, cIBM Almaden Research Center, dShin-Etsu MicroSi, Inc., eShin-Etsu Chemical Co.) for their outstanding contribution published in the Journal of Photopolymer Science and Technology, 21(3), 397-404 (2008), entitled "Graded Spin-on Organic Bottom Antireflective Coating for High NA Immersion Lithography".
- Published
- 2009
29. Graded Spin-on Organic Bottom Antireflective Coating for High NA Immersion Lithography
- Author
-
Robert D. Allen, Sean D. Burns, John C. Arnold, Dah Chung Owe-Yang, Dario L. Goldfarb, Kazumi Noda, Seiichiro Tachiban, Dirk Pfeiffer, Anthony D. Lisi, Shozo Shirai, Libor Vyklicky, David R. Medeiros, Daniel D. Sanders, and Karen Petrillo
- Subjects
Materials science ,Polymers and Plastics ,business.industry ,Organic Chemistry ,Photoresist ,Molar absorptivity ,Surface energy ,law.invention ,Anti-reflective coating ,Optics ,law ,Materials Chemistry ,Optoelectronics ,X-ray lithography ,business ,Refractive index ,Lithography ,Immersion lithography - Abstract
A spin-on organic Graded Bottom AntiReflective Coating (GBARC) was developed to enable appropriate reflectivity control during advanced photoresist patterning using 193nm immersion lithography up to 1.35 NA (limits of hyper-NA lithography using water as immersion fluid). This novel technology constitutes a simplified reflectivity control approach over more conventional schemes such as dual-layer BARCs, trilayer stacks or single layer BARCs. The GBARC layer is formed upon vertical phase separation of optically tuned polymeric components present in a GBARC casting solution during the spin-coating and post-applied baking steps. The substrate surface energy is found to dictate the direction of the spatial assembly for any given phase-separating polymer blend combination. The index of refraction (n193) of the GBARC polymeric components is approximately matched to that of the photoresist and substrate layers for optimum reflectivity control, while the extinction coefficient (k193) increases gradually towards the substrate. Analytical characterization of the varying optical constants throughout the GBARC film is achieved by Variable Angle Spectroscopic Ellipsometry (VASE) which allows for a quantitative description of the optical gradient present within the GBARC layer. The optical gradient sharpness is shown to be impervious to total GBARC thickness variations at constant relative polymer mass loading as well as changes in the relative mass loading of polymeric components at fixed total GBARC thickness. Also, the link between image profile afforded by various levels of reflectivity control and the mechanical stability of photoresist nanostructures is investigated at 1.2NA by designing GBARC formulations with variable residual reflectivity. Substrate reflectivity in excess of 1% is shown to limit the focus-exposure window due to pattern collapse. Last, adhesion of 193 nm photoresist patterns to a GBARC film is compared to a commercially available single layer BARC. Enhanced adhesion is demonstrated in the case of the GBARC surface, despite the low surface energy that typifies GBARC layers
- Published
- 2008
30. Pathway to sub-30nm Resolution in EUV Lithography
- Author
-
Yayi Wei, Roger A. Nassar, Patrick P. Naulleau, Thomas Wallow, Bill Pierson, Harun H. Solak, Dario L. Goldfarb, Jeff Mackey, Chief-seng Koay, Kathleen Spear-Alfonso, Karen Petrillo, Robert L. Brainard, Robert Wood, Warren Montgomery, and James W. Thakerlay
- Subjects
Materials science ,Polymers and Plastics ,business.industry ,Extreme ultraviolet lithography ,Organic Chemistry ,Resolution (electron density) ,Optics ,Resist ,Materials Chemistry ,Process window ,business ,Exposure latitude ,Next-generation lithography ,Electron-beam lithography ,Immersion lithography - Abstract
We have investigated a number of key resist factors using EUV lithography including activation energy of deprotection, and acid diffusion length. Our standard high activation resist material, MET-2D (XP5271F), is capable of robust performance at CDs in 40 nm regime and thicknesses above 100 nm. Below 100 nm film thickness, controlling acid diffusion becomes a difficult challenge. We have also developed a low activation resist (XP6305G) which shows superior process window and exposure latitude at CDs in the 35 nm regime. This resist is optimal for 80 nm film thickness. Lastly, we have demonstrated 25 nm 1:1 resolution capability using a novel chemical amplification resist called XP6627. This is the first EUV resist capable of 25 nm resolution. The LER is also very low, 2.7 nm 3σ, for the 25 nm features. Our first version, XP6627G, has a photospeed of 40 mJ/cm2. Our second version, XP6627Q, has a photospeed of 27 mJ/cm2. Our current focus is on improving the photospeed to less than 20 mJ/cm2. The outstanding resolution and LER of this new resist system raises the possibility of extending chemically amplified resist to the 22 nm node.
- Published
- 2007
31. Track process monitoring via laser scattering imaging
- Author
-
Alex Hubbard, Cody Murray, Parul Dhagat, Karen Petrillo, Shawn Macnish, Ranee Kwong, Chandar Palamadai, and Luciana Meli
- Subjects
Materials science ,Scattering ,business.industry ,Process (computing) ,Laser ,Wafer backgrinding ,law.invention ,Optics ,Resist ,Stack (abstract data type) ,law ,Wafer ,Photolithography ,business - Abstract
The growing complexity of photolithography processes necessitates stringent defect monitoring to meet the increasingly rigorous yield requirements. Mitigation of defectivity at the track level involves both product wafer scans, as well as blanket wafer inspections for process monitoring and tool qualification. Some of the common defect types that are characteristic of the track process are comets, striations, water marks, chuck marks, edge effect etc [1]. In this work, we review two case studies that highlight the benefit of using laser-based scattering imaging for detecting coating-induced defects in blanket wafers [2]. First, we used a whole wafer, laser-based scattering image to detect, as well as quantify, a buildup of material near the wafer center. Grid analysis of the whole wafer image enabled us to bin out the defective regions of the wafer surface, and as a result automatically flag defective monitor wafers. Achievement of process improvement is reflected in the post-change process scan images. This methodology of process signature monitoring led to the optimization of the spin coating process which was implemented by the track team. In the second case, whole wafer laser scattering imaging was again successfully used to visually capture the spin coating classical striation signature in a film from a trilayer stack. This was further quantified by using a spectroscopic ellipsometer, which clearly highlighted the thickness variation of the resist film as a function of radius across the wafer with maximum thickness variation was observed near the center of the wafer surface. Further studies for this layer will focus on a quantification strategy for verification of process anomaly. This work was performed by IBM Research and Development at various facilities.
- Published
- 2015
32. SEMATECH's cycles of learning test for EUV photoresist and its applications for process improvement
- Author
-
Lior Huli, Cecilia Montgomery, David Hetzer, Mark Neisser, Shih-Hui Jen, Takashi Saito, Jun Sung Chun, Dominic Ashworth, and Karen Petrillo
- Subjects
Optics ,Resist ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Line (geometry) ,Electronic engineering ,Process (computing) ,Process window ,Photoresist ,business - Abstract
With current progress in exposure source power, novel resist materials, and post processing techniques, EUV is getting closer to the production environment. As reported continuously, SEMATECH established cycles of learning program. The data generated from the program has been utilized to measure current state of the art of EUV photoresist for production or pilot line use. Thanks to SEMATECH core and associate members’ attention to the project, numerous EUV samples have been tested and they were based on the best performing EUV resists from associate members. This year we completed the evaluations for under-layers, lines and spaces, and contact holes. We also applied track based techniques to drive both low line edge roughness control and enlarge the process window with techniques such as FIRM TM and track based smoothing process. In this paper we will discuss about the results from cycles of learning test and show post-processing results of the three best line and space resists when combined with different FIRM TM materials.
- Published
- 2014
33. Precise CD-SEM metrology of resist patterns at around 20 nm for 0.33NA EUV lithography
- Author
-
Todd Bailey, Keiichiro Hitomi, Nobuhiro Okai, Shoji Hotta, Karen Petrillo, Atsuko Yamaguchi, Scott Halle, Erin Lavigne, Junichi Tanaka, and Shunsuke Koshihara
- Subjects
Optics ,Materials science ,Resist ,business.industry ,Scanning electron microscope ,Extreme ultraviolet lithography ,Process window ,business ,Critical dimension ,Electron-beam lithography ,Shrinkage ,Metrology - Abstract
Evaluation of resist shrinkage and precision by critical dimension scanning electron microscope (CD-SEM) for EUV resist patterns at around 20 nm exposed by 0.33 NA EUV tool was conducted. To investigate interaction between EUV resist and electron beam, an accurate and fast measurement method of resist shrinkage was established. Our method can avoid saturation of shrinkage at large dose conditions which was a demerit in conventional method. By applying the new method, pattern size dependence of shrinkage was measured with various line and space (L/S) patterns down to 20 nm. The result shows that resist shrinkage of fine L/S EUV resist pattern largely depends on line width rather than space width. A well-known trade-off relationship between shrinkage and precision was observed for EUV resist pattern as well as ArF resist pattern. Shrinkage of 1.6 nm and precision of 0.13 nm for 18 nm EUV resist pattern were obtained at a typical CD-SEM condition. We also measured shrinkage and precision for a dense L/S pattern at various exposure focus and dose conditions using a FEM wafer to examine the impact of process variability. To investigate the influence of EUV shadowing effect, we measured them for both horizontal and vertical patterns at different slit locations in exposure field. No systematic change of shrinkage and precision was observed through exposure focus and dose in the process window across slit location for both horizontal and vertical L/S patterns.
- Published
- 2014
34. ICE: Ionic contrast enhancement for organic solvent negative tone develop
- Author
-
Gregory M. Wallraff, Linda K. Sundberg, Hoa D. Truong, Luisa D. Bozano, Martha I. Sanchez, Karen Petrillo, William D. Hinsberg, and Dario L. Goldfarb
- Subjects
Solvent ,chemistry.chemical_classification ,Hildebrand solubility parameter ,Aqueous solution ,Materials science ,Resist ,chemistry ,Chemical engineering ,Ionic bonding ,Nanotechnology ,Polymer ,Solubility ,Dissolution - Abstract
The use of organic solvents in the development of chemically amplified (CA) resists has been known since the introduction of DUV lithography into manufacturing over twenty years ago [1,2]. In this approach a negative tone image is produced using an aqueous base developable positive tone resist developed in an organic solvent. Recently there has been an increased interest in negative tone imaging due to superior performance for specific masking levels such as narrow trenches and contact holes [3]. Negative tone imaging of this type is based on differences in the polarity between the exposed and unexposed regions of the resist film. The dissolution contrast can be optimized by selecting a solvent with the proper match of solubility parameters (polarity, hydrogen bonding and dispersion) to attain good solubility of the relatively nonpolar unexposed resist and poor solubility of the deprotected acidic exposed film. Another approach is to tune the properties of the resist polymer for a given solvent, creating a new optimized resist. We have explored a third methodology to achieve a high contrast solvent developable system without a need to modify resist or solvent. In this report we describe a process that exploits the differences in solubility between ionic and organic materials. In this method an ionic species is introduced into the resist film following post-exposure bake to alter the polarity in such way that the resist contrast can be improved in organic solvent development. We describe processes using pre-rinses and developers containing salts. Lithographic response, characterized using contrast curves and imaging, is presented for a variety of resist platforms. We show evidence for ionic incorporation into the resist film using SIMS, XPS, QCM and FTIR characterization. We demonstrate the practical applicability of this method to 248nm, 193nm, e-beam and EUV exposures.
- Published
- 2014
35. Recent progress in electron-beam resists for advanced mask-making
- Author
-
Wu-Song Huang, Karen Petrillo, Arpan P. Mahorowala, Ranee Wai-Ling Kwong, Marie Angelopoulos, Wayne M. Moreau, C. R. Guarnieri, Arieh Aviram, David R. Medeiros, and Christopher Magg
- Subjects
General Computer Science ,Resist ,Manufacturing process ,Cathode ray ,Nanotechnology ,Photomask ,Lithography - Abstract
Resists for advanced mask-making with high-voltage electron-beam writing tools have undergone dramatic changes over the last three decades. From PMMA and the other early chain-scission resists for micron dimensions to the aqueous-base-developable, dry-etchable chemically amplified systems being developed today, careful tuning of the chemistry and processing conditions of these resist systems has allowed the patterning of photomasks of increasing complexity containing increasingly finer features. Most recently, our research efforts have been focused on a low-activation-energy chemically amplified resist based on ketal-protected poly(hydroxystyrene). These ketal resist systems, or KRSs, have undergone a series of optimization and evaluation cycles in order to fine-tune their performance for advanced mask-fabrication applications using the 75-kV IBM EL4+ vector scan e-beam exposure system. The experiments have led to an optimized formulation, KRS-XE, that exhibits superior lithographic performance and has a high level of processing robustness. In addition, we describe advanced formulations of KRS-XE incorporating organometallic species, which have shown superior dry-etch resistance to novolak-based resists in the Cr etch process while maintaining excellent lithographic performance. Finally, current challenges facing the implementation of a chemically amplified resist in the photomask manufacturing process are outlined, along with current approaches being pursued to extend the capabilities of KRS technology.
- Published
- 2001
36. Etch Selectivity of 4SiMA:Hydroxystyrene Based Copolymers. Silicon Chemistry for Bilayer Resist Systems
- Author
-
Gregory Breyta, R. Sooriyakumaran, Carl E. Larson, Qinghuang Lin, Karen Petrillo, Marie Angelopoulos, Juliann Opitz, Gregory M. Wallraff, D. LaTulip, Katherina Babich, John P. Simons, R. A. Dipietro, Mark H. Sherwood, Donald C. Hofer, Debra Fenzel-Alexander, and J. Muete
- Subjects
Depth of focus ,Materials science ,Polymers and Plastics ,Silicon ,Bilayer ,Organic Chemistry ,chemistry.chemical_element ,Nanotechnology ,Substrate (electronics) ,Standing wave ,chemistry ,Resist ,Materials Chemistry ,Copolymer ,Thin film - Abstract
Thin film imaging resists (TSI and Bilayer systems) confine the imaging to a thin resist film (in the case of a bilayer system) which is subsequently transferred to a thicker polymeric underlayer. This approach has a number of potential advantages including increased ability to print high aspect ratios at small feature sizes, better resolution at a given depth of focus (DQF), and minimization of resist substrate interactions including resist "footing, " standing wave formation and reflective notching caused by topography. Continued progress in single layer resist technology has been able to meet the current manufacturing requirements and the more complex TSI approaches have not yet been required. However, the requirements for imaging features below 0.18 microns, the desire to extend high NA 248nm exposure technology and anticipated shift to 193nm exposure tools has led to renewed interest in thin film imaging approaches. In this report we will describe new chemistry developed for bi layer resist systems for use at 248 nm in both positive and negative tone.
- Published
- 1998
37. Enhancing resolution with pupil filtering for projection printing systems with fixed or restricted illumination angular distribution
- Author
-
Obert Wood, Alexander Friz, Leon Teeuwen, Greg McIntyre, Eelco van Setten, Martin Burkhardt, Theo van den Akker, Karen Petrillo, Zhengqing John Qi, Oleg Viacheslavovich Voznyi, Sander Bouten, Daniel Corliss, Erik Sohmen, Sang-In Han, and Hermann Bieg
- Subjects
business.industry ,Stray light ,Computer science ,Extreme ultraviolet lithography ,Filter (signal processing) ,Pupil ,Optics ,Resist ,Feature (computer vision) ,Reticle ,Computer vision ,Artificial intelligence ,business ,Projection (set theory) - Abstract
For projection printing imaging systems with fixed or restricted illumination modes, pupil filters may enhance imaging for select features by blocking rays of light that negatively contribute to imaging. A method to design pupil filters for the optimum printing of a select feature type and size is presented. With this method, a series of pupil filters have been developed and are being tested to enhance the resolution of the Albany Alpha Demo Tool (ADT, 0.25NA) in order to enable resist and process development at feature sizes relevant to the 10 and 7nm nodes. By only allowing light to propagate to the wafer that positively contributes to imaging, six filters have been custom designed to optimize printing of the following sub-resolution feature types and sizes: 22 and 19 nm HP lines, 24 and 21 nm HP contacts, and 27×22 nm HP rectangular contacts. Development and installation of enabling hardware on the scanner is complete, six filters have been manufactured and imaging in resist has validated the concept. Over 20% improvement in tool resolution has been achieved for 22nm HP lines, allowing resist process development for NXE3300 conditions on the ADT. This paper discusses the theory behind the filter designs, the experimental in-resist evaluations, and other aspects of the development, to include challenges caused by the filters with slit uniformity, stray light, and reticle alignment.
- Published
- 2013
38. Resist process applications to improve EUV patterning
- Author
-
Mark Neisser, Andrew Metz, Stefan Wurm, Akiteru Ko, Alexander Friz, Cecilia Montgomery, Karen Petrillo, Lior Huli, Dominic Ashworth, Takashi Saito, and Kyoungyong Cho
- Subjects
Materials science ,Optics ,Resist ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Process (computing) ,Surface finish ,business ,Lithography ,Line width ,Smoothing - Abstract
Roughness control is a key technical issue in extreme ultraviolet (EUV) lithography. It applies to both line and space (L/S) and contact hole (C/H) structures. Recently, SEMATECH and Tokyo Electron Limited (TEL) developed several track-based techniques, including developer optimization, FIRM™ (Finishing up by Improved Rinse Material), and smoothing to reduce structural roughness. The combination of these techniques improved line width roughness (LWR) about 25% from the 2011 baseline of 32 nm L/S. C/H structures were also tested with the combination process. This paper describes our latest L/S and C/H roughness performance post-lithography and postetch. A feasibility study of negative tone develop (NTD) resists for EUV is also included.
- Published
- 2013
39. Resist outgassing contamination growth results using both photon and electron exposures
- Author
-
Genevieve Kane, Dominic Ashworth, Jaewoong Sohn, Alexander Friz, Diego Alvardo, Mihir Upadhyaya, Karen Petrillo, Yudhishthir Kandel, Yashdeep Khopkar, Chandra Sarma, and Gregory Denbeaux
- Subjects
Outgassing ,Scanner ,Optics ,Materials science ,Resist ,business.industry ,Extreme ultraviolet ,Extreme ultraviolet lithography ,Optoelectronics ,Wafer ,Vacuum chamber ,Photoresist ,business - Abstract
During exposure in an EUV scanner, photoresist and other materials coated on a wafer are known to outgas various species. As a requirement to pattern materials in an ASML NXE scanner, these materials need to be screened for outgassing and possible optics contamination. As part of the testing process, a resist-coated wafer is exposed in a vacuum chamber mimicking the conditions inside an EUV scanner. The resist exposure source can be either EUV photons or electron beam (e-beam). This presentation will cover the results to date on a SEMATECH program to study resist outgassing from both the commercial system from EUV Tech and a custom Resist Outgassing and Exposure (ROX) tool. The EUV Tech results reported will be based on electron exposures of the photoresist, and the ROX results reported will be based on EUV photon exposures of the photoresist. The results reported will cover both tools and the measurements of over 80 commercial photoresists.
- Published
- 2013
40. Simulation-assisted layout biasing in EUV lithography and prediction of an optimum resist parameter space
- Author
-
John J. Biafore, Chandra Sarma, Kyoungyong Cho, Karen Petrillo, and Mark Neisser
- Subjects
Optics ,Materials science ,Resist ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Shot noise ,Process window ,Biasing ,Parameter space ,business ,Critical dimension - Abstract
This paper demonstrates a new simulation-based methodology for optimizing critical dimension (CD) bias for contact holes (CH) arrays using several different extreme ultraviolet (EUV) resists that were fully calibrated and verified with physical resist models. The bias for CH was optimized using local CD uniformity (CDU) 3-sigma as a cost function. The CD sigma variations of near-neighbor contact holes were simulated as a function of dose-to-size and mask bias, averaged over a large number of stochastic trials. There is a distinct bias for minimum CD sigma accompanied by an increase in the process window. The results are confirmed with wafer data. We will discuss the results in terms of EUV photon shot noise coupled with resist parameters. The simulation results will be used to predict a parameter space for EUV resist that can optimize line edge roughness (LER)/resolution/process window and CDU. Finally, various tradeoffs will be presented that will enable the process to perform in a high volume manufacturing environment.
- Published
- 2013
41. Monitoring the evolution of line edge roughness during resist development using an analog of quenched flow kinetics
- Author
-
Karen Petrillo, Luisa D. Bozano, Martha I. Sanchez, Hoa D. Truong, Gregory M. Wallraff, Linda K. Sundberg, and William D. Hinsberg
- Subjects
Optics ,Optical coating ,Materials science ,Resist ,Scanning electron microscope ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Thermal ,Surface finish ,business ,Aerial image - Abstract
Line Edge Roughness (LER) continues to be a serious problem for high resolution 193 nm (ArF), E-beam and EUV resists despite years of research. Changes to the resist formulations, the use of low molecular weight (MW) materials, such as molecular glass resists, and special developers have all been used in attempts to minimize LER. In addition, much recent work has focused on post development processes such as rinses, special coatings and thermal treatments to reduce roughness. However there remains a lack of understanding of the origins of LER. Recently researchers have described interesting results based on the use of in situ high speed AFM to characterize LER during development. 1 In this report we describe a complementary technique wherein the evolution of the roughness of the resist line is measured at different times during the development process. This is accomplished by using a specially designed flow cell 2-5 to control the developer contact time for a series of identically patterned fields and measuring the partially developed patterns with scanning electron microscopy (SEM). We will describe the results for different resist chemistries at 248 nm (KrF). In one aspect of this study, we examine resists that have been patterned at different aerial image contrast (AIC) to systematically probe its effect on LER 6 for a given resist. We intend to extend this work to different exposure systems including 193 nm, EUV and electron-beam.
- Published
- 2013
42. Study of alternate hardmasks for extreme ultraviolet patterning
- Author
-
Scott Halle, Anuja De Silva, Nelson Felix, Michael P. Belyansky, Luciana Meli, Yiping Yao, Brock Mendoza, Indira Seshadri, Abraham Arceo, and Karen Petrillo
- Subjects
Materials science ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,Photoresist ,01 natural sciences ,law.invention ,010309 optics ,law ,0103 physical sciences ,Materials Chemistry ,Process window ,Electrical and Electronic Engineering ,Instrumentation ,business.industry ,Process Chemistry and Technology ,021001 nanoscience & nanotechnology ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Anti-reflective coating ,Nanolithography ,Resist ,Extreme ultraviolet ,Multiple patterning ,Optoelectronics ,0210 nano-technology ,business - Abstract
Traditional patterning stacks for deep ultraviolet patterning have been based on a trilayer scheme with an organic planarizing layer, silicon antireflective coating or organic bottom antireflective coating, and photoresist. At an extreme ultraviolet (EUV) wavelength, there is no longer a need for reflectivity control. This offers an opportunity to look at different types of underlayers for patterning at sub-36 nm pitch length scales. An alternate hardmask can be used to develop a low aspect ratio patterning stack that can enable a larger process window at sub-36 nm pitch resolution. The hardmask layer under the resist has the potential for secondary electron generation at the resist/hardmask interface to improve resist sensitivity. This work explores EUV patterning on deposited hardmasks of various types such as silicon oxides and metal hardmasks. It also details the challenges of patterning directly on an alternate underlayer and approaches for improving patterning performance on such layers.
- Published
- 2016
43. Insertion strategy for EUV lithography
- Author
-
Matthew E. Colburn, Karen Petrillo, Xuelian Zhu, Scott Halle, Timothy A. Brunner, Daniel Corliss, Hirokazu Kato, Emily Gallagher, Alfred Wagner, Ralph Schlief, Tom Wallow, Lei Sun, Yunpeng Yin, John C. Arnold, Chiew-seng Koay, Chen Jim C, S. Fan, Obert Wood, Jongwook Kye, Guillaume Landie, Deniz E. Civay, Gregory McIntyre, Satoshi Nagai, Cecilia C. Smolinski, Pak Leung, Sudhar Raghunathan, Craig Higgins, Ming He, and Martin Burkhardt
- Subjects
Materials science ,business.industry ,Computational lithography ,Extreme ultraviolet lithography ,law.invention ,Optics ,law ,Multiple patterning ,Optoelectronics ,X-ray lithography ,Photolithography ,business ,Lithography ,Immersion lithography ,Next-generation lithography - Abstract
The first use of extreme ultraviolet (EUV) lithography in logic manufacturing is targeted for the 14 nm node, with possible earlier application to 20-nm node logic device back-end layers to demonstrate the technology. Use of EUV lithography to pattern the via-levels will allow the use of dark-field EUV masks with low pattern densities and will postpone the day when completely defect-free EUV mask blanks are needed. The quality of the imaging at the 14 nm node with EUV lithography is considerably higher than with double-dipole or double-exposure double-etch 193-nm immersion lithography, particularly for 2-dimensional patterns such as vias, because the Rayleigh k 1 -value when printing with 0.25 numerical aperture (NA) EUV lithography is so much higher than with 1.35 NA 193-nm immersion lithography and the process windows with EUV lithography are huge. In this paper, the status of EUV lithography technology as seen from an end-user perspective is summarized and the current values of the most important metrics for each of the critical elements of the technology are compared to the values needed for the insertion of EUVL into production at the 14 nm technology node.
- Published
- 2012
44. Line width roughness control for EUV patterning
- Author
-
Karen Petrillo, Shannon Dunn, Dominic Ashworth, Kyoungyong Cho, Akiteru Ko, Shinichiro Kawakami, Lior Huli, Liping Ren, Stefan Wurm, and George Huang
- Subjects
Optics ,Materials science ,Resist ,business.industry ,Track (disk drive) ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Process (computing) ,Sensitivity (control systems) ,Surface finish ,business ,Smoothing - Abstract
Controlling line width roughness (LWR) is a critical issue in extreme ultraviolet lithography (EUVL). High sensitivity, high resolution, and low LWR are required for EUV lithography resist. However, simultaneously achieving optimal properties through chemical tuning alone is difficult. The track process is one of the factors that impacts LWR. Enhancing track processes in EUV lithography is thus critical to controlling LWR. This paper describes an approach to mitigating LWR based on optimizing track-based and etch-based processes. It also presents the results of our newly developed track-based smoothing process as well as the results of combining several track-based techniques. The latest LWR performance from using track-based techniques, optimized track processes, and etch-based techniques will be highlighted.
- Published
- 2012
45. The Lithographic Performance and Contamination Resistance of a New Family of Chemically Amplified DUV Photoresists
- Author
-
Karen Petrillo, Hiroshi Ito, Donald C. Hofer, Greg Breyta, Thomas Fischer, Holger Moritz, and Dave Seeger
- Subjects
Depth of focus ,Post exposure ,Materials science ,Polymers and Plastics ,Resist ,Organic Chemistry ,Materials Chemistry ,Nanotechnology ,Contamination ,Lithography ,Exposure latitude - Abstract
A new contamination resistant 248nm DUV resist (ESCAP-E) has been developed to alleviate the difficulties encountered with the environmental contamination sensitivity of chemically amplified DUV photoresists. The formulation and processing of ESCAP-I; have been designed to permit thermal annealing of resist films to reduce the free volume and diffusivity of airborne contaminants into the resist film. The resist formulation is robust enough to permit post exposure bake delays of up to four hours without change or reduction of resist lithographic performance. The lithographic performance evaluated on 0.50NA 248nm steppers indicates linearity to 0.25μm, excellent exposure latitude and depth of focus.
- Published
- 1994
46. Fabrication of high performance 512Kb SRAMs in 0.25 μm CMOS technology using x-ray lithography
- Author
-
A. Bright, David E. Seeger, D. Patel, Karen Petrillo, T.J. Bucelot, P. Agnello, John Michael Warlaumont, Andrew Pomerene, R. Viswanathan, J. Conway, and Patricia G. Blauner
- Subjects
Materials science ,business.industry ,Computational lithography ,Extreme ultraviolet lithography ,Nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,CMOS ,Multiple patterning ,Optoelectronics ,X-ray lithography ,Electrical and Electronic Engineering ,business ,Lithography ,Maskless lithography ,Next-generation lithography - Abstract
Fully functional 512Kb static-random access memory (SRAM) devices containing more than 3.6 million transistors have been successfully fabricated in a 0.25 μm complementary metal oxide semiconductor (CMOS) technology using compact storage ring X-ray lithography thus demonstrating the functionality of the X-ray lithography infrastructure. A lithographic performance comparison was made between x-ray lithography and optical (excimer laser) lithography by fabricating SRAM devices using both lithographic techniques. The excellent process latitude and dimension control available for x-ray lithography is reflected in this comparison. A comprehensive discussion of the advantages of x-ray lithography is discussed in this paper.
- Published
- 1994
47. Environmentally stable chemical amplification positive resist: principle, chemistry, contamination resistance, and lithographic feasibility
- Author
-
R. Sooriyakumaran, Karen Petrillo, Greg Breyta, David E. Seeger, Don Hofer, and Hiroshi Ito
- Subjects
Materials science ,Polymers and Plastics ,Resist ,Organic Chemistry ,Materials Chemistry ,Chemical amplification ,Nanotechnology ,Contamination ,Lithography - Published
- 1994
48. The healthy practice: 8 tips to thrive in today's challenging environment
- Author
-
Valora, Gurganious and Karen, Petrillo
- Subjects
Economic Recession ,Costs and Cost Analysis ,Practice Management, Medical ,Humans ,United States - Published
- 2011
49. Optimization of pitch-split double patterning phoresist for applications at the 16nm node
- Author
-
Matthew E. Colburn, Steven J. Holmes, Brian Osborn, Sean D. Burns, Shinichiro Kawakami, David Hetzer, Sumanth Kini, Hideyuki Tomizawa, Nicolette Fender, Chiew-seng Koay, Karen Petrillo, John C. Arnold, Terry A. Spooner, Yunpeng Yin, Guillaume Landie, Rex Chen, Mark Slezak, Rao Varanasi, Scott Halle, Cherry Tang, Shyng-Tsong Chen, Jason Cantone, Sen Liu, Shannon Dunn, and Lovejeet Singh
- Subjects
Optics ,Fabrication ,Materials science ,Resist ,Computer Science::Sound ,business.industry ,Multiple patterning ,Process window ,Semiconductor device ,business ,Dark field microscopy ,Lithography ,Critical dimension - Abstract
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to standard bright field applications.
- Published
- 2011
50. Towards manufacturing of advanced logic devices by double-patterning
- Author
-
Michael Crouse, Brian Martinick, Steven J. Holmes, Shinichiro Kawakami, Matthew E. Colburn, Karen Petrillo, Shannon Dunn, Aiqin Jiang, Scott Halle, Jason Cantone, Lior Huli, Youri van Dommelen, David Hetzer, M. Rodgers, and Chiew-seng Koay
- Subjects
business.industry ,Computer science ,Extreme ultraviolet lithography ,Nanotechnology ,Semiconductor device ,Photoresist ,Design for manufacturability ,Semiconductor ,Electronic engineering ,Multiple patterning ,Node (circuits) ,Wafer ,IBM ,business ,Lithography - Abstract
As reported previously, the IBM Alliance has established a DETO (Double-Expose-Track-Optimized) baseline, in collaboration with ASML, TEL, and CNSE, to evaluate commercially available DETO photoresist system for the manufacturing of advanced logic devices. Although EUV lithography is the baseline strategy for
- Published
- 2011
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.