78 results on '"John S. Villarrubia"'
Search Results
2. Improving inference time in multi-TPU systems with profiled model segmentation.
- Author
-
John S. Villarrubia, Luis Costero, Francisco D. Igual, and Katzalin Olcoz
- Published
- 2023
- Full Text
- View/download PDF
3. An a Posteriori Error Estimate for Scanning Electron Microscope Simulation with Adaptive Mesh Refinement.
- Author
-
William F. Mitchell and John S. Villarrubia
- Published
- 2019
- Full Text
- View/download PDF
4. Model validation for scanning electron microscopy
- Author
-
Olga Yu Ridzel, Wataru Yamane, Ishiaka Mansaray, and John S. Villarrubia
- Published
- 2023
5. Electron Inelastic Mean Free Paths for LiF, CaF2, Al2O3, and Liquid Water from 433 keV down to the Energy Gap
- Author
-
G. Massillon-JL, Miguel Angel Flores-Mancera, and John S. Villarrubia
- Subjects
Materials science ,Condensed Matter::Other ,Band gap ,Liquid water ,General Chemical Engineering ,Exciton ,Physics::Medical Physics ,General Chemistry ,Electron ,Inelastic mean free path ,Chemistry ,Condensed Matter::Materials Science ,High Energy Physics::Experiment ,Atomic physics ,QD1-999 - Abstract
We report new calculations, which include the influence of the band gap and exciton states, of the electron inelastic mean free path (IMFP) for liquid water, LiF, CaF2, and Al2O3 from the band gap ...
- Published
- 2020
6. Unmasking the Resolution–Throughput Tradespace of Focused‐Ion‐Beam Machining (Adv. Funct. Mater. 38/2022)
- Author
-
Andrew C. Madison, John S. Villarrubia, Kuo‐Tang Liao, Craig R. Copeland, Joshua Schumacher, Kerry Siebein, B. Robert Ilic, J. Alexander Liddle, and Samuel M. Stavis
- Subjects
Biomaterials ,Electrochemistry ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials - Published
- 2022
7. Probing Electrified Liquid-Solid Interfaces with Scanning Electron Microscopy
- Author
-
Hongxuan Guo, John S. Villarrubia, Alexander Yulaev, Evgheni Strelcov, Andrei Kolmakov, Christopher Arble, Alexander Tselev, and András E. Vladár
- Subjects
Auxiliary electrode ,Materials science ,Graphene ,business.industry ,Scanning electron microscope ,Electrolyte ,Secondary electrons ,law.invention ,law ,Secondary emission ,Electrode ,Optoelectronics ,General Materials Science ,business ,Polarization (electrochemistry) - Abstract
Electrical double layers play a key role in a variety of electrochemical systems. The mean free path of secondary electrons in aqueous solutions is on the order of a nanometer, making them suitable for probing ultrathin electrical double layers at solid-liquid electrolyte interfaces. Employing graphene as an electron-transparent electrode in a two-electrode electrochemical system, we show that the secondary electron yield of the graphene-liquid interface depends on the ionic strength and concentration of the electrolyte and the applied bias at the remote counter electrode. These observations have been related to polarization-induced changes in the potential distribution within the electrical double layer and demonstrate the feasibility of using scanning electron microscopy to examine and map electrified liquid-solid interfaces.
- Published
- 2020
8. Unmasking the resolution$-$throughput tradespace of focused-ion-beam machining
- Author
-
Andrew C. Madison, John S. Villarrubia, Kuo‐Tang Liao, Craig R. Copeland, Joshua Schumacher, Kerry Siebein, B. Robert Ilic, J. Alexander Liddle, and Samuel M. Stavis
- Subjects
Biomaterials ,Electrochemistry ,FOS: Physical sciences ,Physics - Applied Physics ,Applied Physics (physics.app-ph) ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials - Abstract
Focused-ion-beam machining is a powerful process to fabricate complex nanostructures, often through a sacrificial mask that enables milling beyond the resolution limit of the ion beam. However, current understanding of this super-resolution effect is empirical in the spatial domain and nonexistent in the temporal domain. This article reports the primary study of this fundamental tradespace of resolution and throughput. Chromia functions well as a masking material due to its smooth, uniform, and amorphous structure. An efficient method of in-line metrology enables characterization of ion-beam focus by scanning electron microscopy. Fabrication and characterization of complex test-structures through chromia and into silica probe the response of the bilayer to a focused beam of gallium cations, demonstrating super-resolution factors of up to 6 $\pm$ 2 and improvements to volume throughput of at least factors of 42 $\pm$ 2, with uncertainties denoting 95 % coverage intervals. Tractable theory models the essential aspects of the super-resolution effect for various nanostructures. Application of the new tradespace increases the volume throughput of machining Fresnel lenses by a factor of 75, which we introduce as projection standards for optical microscopy. These results enable paradigm shifts of sacrificial masking from empirical to engineering design, and from prototyping to manufacturing.
- Published
- 2020
- Full Text
- View/download PDF
9. Electron Inelastic Mean Free Paths for LiF, CaF
- Author
-
Miguel Angel, Flores-Mancera, John S, Villarrubia, and Guerda, Massillon-Jl
- Subjects
Physics::Medical Physics ,Article - Abstract
We report new calculations, which include the influence of the band gap and exciton states, of the electron inelastic mean free path (IMFP) for liquid water, LiF, CaF2, and Al2O3 from the band gap to 433 keV. Among compounds, liquid water is the most studied due to its role in radiobiological research, whereas LiF and CaF2 are the most widely used thermoluminescent dosimeters in environmental monitoring and medical and space dosimetry. Due to its sensitivity, the optically stimulated luminescent dosimeter, Al2O3, has recently begun to be used for personnel monitoring. Previous treatments have modified the integration domain to consider the indistinguishability between the incident electron and the ejected one or the bandgap energy for nonconductors but not to accommodate exciton states within the band gap, and no published IMFP data are available for CaF2. Our calculation was carried out using an electron-beam–solid-state interaction model through the relativistic full Penn algorithm. Integration limits that consider the band gap, the valence band width, and exciton interactions have been used. The results suggest that, at electron energies below 100 eV, the different choices of models for integration limits and the exciton interaction can affect the IMFP by 9–29%. At higher energies, the differences associated with the choice of energy-loss function and other input parameters are around 2.5–7.5%.
- Published
- 2019
10. Three-Dimensional (3D) Nanometrology Based on Scanning Electron Microscope (SEM) Stereophotogrammetry
- Author
-
John S. Villarrubia, V. N. Tondare, and András E. Vladár
- Subjects
Materials science ,business.industry ,Scanning electron microscope ,Monte Carlo method ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Sample (graphics) ,Article ,010309 optics ,Photogrammetry ,Software ,Nanometrology ,Computer graphics (images) ,Rough surface ,0103 physical sciences ,Measurement uncertainty ,0210 nano-technology ,business ,Instrumentation - Abstract
Three-dimensional (3D) reconstruction of a sample surface from scanning electron microscope (SEM) images taken at two perspectives has been known for decades. Nowadays, there exist several commercially available stereophotogrammetry software packages. For testing these software packages, in this study we used Monte Carlo simulated SEM images of virtual samples. A virtual sample is a model in a computer, and its true dimensions are known exactly, which is impossible for real SEM samples due to measurement uncertainty. The simulated SEM images can be used for algorithm testing, development, and validation. We tested two stereophotogrammetry software packages and compared their reconstructed 3D models with the known geometry of the virtual samples used to create the simulated SEM images. Both packages performed relatively well with simulated SEM images of a sample with a rough surface. However, in a sample containing nearly uniform and therefore low-contrast zones, the height reconstruction error was ≈46%. The present stereophotogrammetry software packages need further improvement before they can be used reliably with SEM images with uniform zones.
- Published
- 2017
11. Comparison of Electron Imaging Modes for Dimensional Measurements in the Scanning Electron Microscope
- Author
-
Michael T. Postek, Atsushi Muto, András E. Vladár, and John S. Villarrubia
- Subjects
010302 applied physics ,Scanning electron microscope ,business.industry ,Chemistry ,Detector ,02 engineering and technology ,Electron ,021001 nanoscience & nanotechnology ,01 natural sciences ,Signal ,Article ,Secondary electrons ,Metrology ,law.invention ,Optics ,law ,Computer Science::Computer Vision and Pattern Recognition ,0103 physical sciences ,Electron microscope ,0210 nano-technology ,business ,Instrumentation ,Beam (structure) - Abstract
Dimensional measurements from secondary electron (SE) images were compared with those from backscattered electron (BSE) and low-loss electron (LLE) images. With the commonly used 50% threshold criterion, the lines consistently appeared larger in the SE images. As the images were acquired simultaneously by an instrument with the capability to operate detectors for both signals at the same time, the differences cannot be explained by the assumption that contamination or drift between images affected the SE, BSE, or LLE images differently. Simulations with JMONSEL, an electron microscope simulator, indicate that the nanometer-scale differences observed on this sample can be explained by the different convolution effects of a beam with finite size on signals with different symmetry (the SE signal’s characteristic peak versus the BSE or LLE signal’s characteristic step). This effect is too small to explain the >100 nm discrepancies that were observed in earlier work on different samples. Additional modeling indicates that those discrepancies can be explained by the much larger sidewall angles of the earlier samples, coupled with the different response of SE versus BSE/LLE profiles to such wall angles.
- Published
- 2016
12. Conventional vs. model-based measurement of patterned line widths from scanning electron microscopy profiles
- Author
-
John S. Villarrubia and Francesc Salvat-Pujol
- Subjects
010302 applied physics ,Auger electron spectroscopy ,Materials science ,business.industry ,Scanning electron microscope ,Monte Carlo method ,Transistor ,02 engineering and technology ,Inelastic scattering ,021001 nanoscience & nanotechnology ,01 natural sciences ,Article ,Atomic and Molecular Physics, and Optics ,Secondary electrons ,Electronic, Optical and Magnetic Materials ,Auger ,law.invention ,Optics ,law ,0103 physical sciences ,Line (geometry) ,0210 nano-technology ,business ,Instrumentation - Abstract
Scanning electron microscopy (SEM) is a practical tool to determine the dimensions of nanometer-scale features. Conventional width measurements use arbitrary criteria, e.g., a 50 % threshold crossing, to assign feature boundaries in the measured SEM intensity profile. To estimate the errors associated with such a procedure, we have simulated secondary electron signals from a suite of line shapes consisting of 30 nm tall silicon lines with varying width, sidewall angle, and corner rounding. Four different inelastic scattering models were employed in Monte Carlo simulations of electron transport to compute secondary electron image intensity profiles for each of the shapes. The 4 models were combinations of dielectric function theory with either the single-pole approximation (SPA) or the full Penn algorithm (FPA), and either with or without Auger electron emission. Feature widths were determined either by the conventional threshold method or by the model-based library (MBL) method, which is a fit of the simulated profiles to the reference model (FPA + Auger). On the basis of these comparisons we estimate the error in the measured width of such features by the conventional procedure to be as much as several nanometers. A 1 nm difference in the size of, e.g., a nominally 10 nm transistor gate would substantially alter its electronic properties. Thus, the conventional measurements do not meet the contemporary requirements of the semiconductor industry. In contrast, MBL measurements employing models with varying accuracy differed one from another by less than 1 nm. Thus, a MBL measurement is preferable in the nanoscale domain.
- Published
- 2019
13. The effect of tip size on the measured Ra of surface roughness specimens with rectangular profiles
- Author
-
Thomas B. Renegar, Theodore V. Vorburger, Balasubramanian Muralikrishnan, John S. Villarrubia, J. Song, A Zheng, and Johannes A. Soons
- Subjects
Surface (mathematics) ,Optics ,Materials science ,Surface metrology ,business.industry ,General Engineering ,Surface roughness ,Measurement uncertainty ,Surface finish ,Stylus ,business - Abstract
When measuring rectangular and trapezoidal profile roughness specimens, the stylus tip increases the measured profile peak width and decreases the measured valley width. This can cause either an increase or a decrease in the apparent roughness average Ra, depending on the tip size and the ratio of peak width to valley width. Sometimes the change is larger than the combined measurement uncertainty from other sources. This raises the question as to whether measured surface parameters should be corrected for the effect of tip size.
- Published
- 2014
14. Virtual rough samples to test 3D nanometer-scale scanning electron microscopy stereo photogrammetry
- Author
-
V. N. Tondare, András E. Vladár, and John S. Villarrubia
- Subjects
Materials science ,business.industry ,Resolution (electron density) ,3D reconstruction ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,02 engineering and technology ,021001 nanoscience & nanotechnology ,3D modeling ,01 natural sciences ,Article ,Metrology ,010309 optics ,Optics ,Photogrammetry ,Dimensional metrology ,0103 physical sciences ,Line (geometry) ,Surface roughness ,Computer vision ,Artificial intelligence ,0210 nano-technology ,business - Abstract
The combination of scanning electron microscopy for high spatia l resolution, images from multiple angles to provide 3Dinformation, and commercially available stereo photogrammetry software for 3D reconstruction offers promise fornanometer-scale dimensional metrology in 3D. A method is described to test 3D photogrammetry software by the use ofvirtual samplesmathematical samples from which simulated images are made for use as inputs to the software undertest. The virtual sample is constructed by wrapping a rough skin with any desired power spectral density around a smoothnear-trapezoidal line with rounded top corners. Reconstruction is performed with images simulated from different angularviewpoints. The softwares reconstructed 3D model is then compared to the known geometry of the virtual sample. Threecommercial photogrammetry softwa re packages were tested. Two of them produced results for line height and width thatwere within close to 1 nm of the correct values. All of the p ackages exhibited some difficulty in reconstructing details ofthe surface roughness.Keywords: critical dimension (CD), dimensional metrology, model-based metrology, scanning electron microscopy(SEM), simulation, stereo photogrammetry, surface roughness, virtual sample
- Published
- 2016
15. Research Update: Electron beam-based metrology after CMOS
- Author
-
James Alexander Liddle, Brian D. Hoskins, John S. Villarrubia, and András E. Vladár
- Subjects
010302 applied physics ,Resistive touchscreen ,Materials science ,lcsh:Biotechnology ,General Engineering ,Nanophotonics ,02 engineering and technology ,Surface finish ,021001 nanoscience & nanotechnology ,01 natural sciences ,lcsh:QC1-999 ,Rendering (computer graphics) ,Metrology ,Identification (information) ,CMOS ,lcsh:TP248.13-248.65 ,0103 physical sciences ,Electronic engineering ,General Materials Science ,0210 nano-technology ,Plasmon ,lcsh:Physics - Abstract
The magnitudes of the challenges facing electron-based metrology for post-CMOS technology are reviewed. Directed self-assembly, nanophotonics/plasmonics, and resistive switches and selectors are examined as exemplars of important post-CMOS technologies. Materials, devices, and architectures emerging from these technologies pose new metrology requirements: defect detection, possibly subsurface, in soft materials, accurate measurement of size, shape, and roughness of structures for nanophotonic devices, contamination-free measurement of surface-sensitive structures, and identification of subtle structural, chemical, or electronic changes of state associated with switching in non-volatile memory elements. Electron-beam techniques are examined in the light of these emerging requirements. The strong electron-matter interaction provides measurable signals from small sample features, rendering electron-beam methods more suitable than most for nanometer-scale metrology, but as is to be expected, solutions to many of the measurement challenges are yet to be demonstrated. The seeds of possible solutions are identified when they are available.
- Published
- 2018
16. General three-dimensional image simulation and surface reconstruction in scanning probe microscopy using a dexel representation
- Author
-
Xiaoping Qian and John S. Villarrubia
- Subjects
Materials science ,business.industry ,Mathematical morphology ,External Data Representation ,Atomic and Molecular Physics, and Optics ,Dexel ,Electronic, Optical and Magnetic Materials ,Scanning probe microscopy ,Reentrancy ,Optics ,Dilation (morphology) ,Undercut ,business ,Instrumentation ,Surface reconstruction - Abstract
The ability to image complex general three-dimensional (3D) structures, including reentrant surfaces and undercut features using scanning probe microscopy, is becoming increasing important in many small length-scale applications. This paper presents a dexel data representation and its algorithm implementation for scanning probe microscope (SPM) image simulation (morphological dilation) and surface reconstruction (erosion) on such general 3D structures. Validation using simulations, some of which are modeled upon actual atomic force microscope data, demonstrates that the dexel representation can efficiently simulate SPM imaging and reconstruct the sample surface from measured images, including those with reentrant surfaces and undercut features.
- Published
- 2007
17. Advanced metrology needs for nanoelectronics lithography
- Author
-
Stephen Knight, Eric K. Lin, Ndubuisi G. Orji, Wen-Li Wu, John S. Villarrubia, Ronald G. Dixson, Richard M. Silver, András E. Vladár, and Ronald L. Jones
- Subjects
Atomic force microscopy ,Computer science ,General Engineering ,Energy Engineering and Power Technology ,Nanotechnology ,Line width ,Metrology ,law.invention ,International Technology Roadmap for Semiconductors ,Nanoelectronics ,law ,Photolithography ,Lithography ,Critical dimension - Abstract
The semiconductor industry has exploited productivity improvements through aggressive feature size reduction for over four decades. While enormous effort has been expended in developing the optical lithography tools to print ever finer features, significant advances have also been required to measure the printed features. In this article we will discuss the current state of the art in the metrology for measuring critical dimensions of printed features for scanning electron microscopy and atomic force microscopy, and describe work at the National Institute of Standards and Technology advancing these tools as well as exploratory work on two new promising techniques, scatterfield microscopy and small angle X-ray scattering. Line width roughness critical dimension and overlay metrology and control are two of the most significant industry needs mentioned in the International Technology Roadmap for Semiconductors (2005). To cite this article: S. Knight et al., C. R. Physique 7 (2006).
- Published
- 2006
18. Scanning electron microscope dimensional metrology using a model-based library
- Author
-
Michael T. Postek, András E. Vladár, and John S. Villarrubia
- Subjects
business.industry ,Computer science ,Scanning electron microscope ,Monte Carlo method ,Image processing ,Surfaces and Interfaces ,General Chemistry ,Repeatability ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Optics ,Dimensional metrology ,Materials Chemistry ,Measurement uncertainty ,Process control ,business ,Image resolution - Abstract
The semiconductor electronics industry places significant demands upon secondary electron imaging to obtain dimensional measurements that are used for process control or failure analysis. Tolerances for measurement uncertainty and repeatability are smaller than the spatial resolution of edges in the scanning electron microscope (SEM) that is used to perform the measurements. Image processing techniques, historically used to identify edge locations, are inadequate under these conditions. An alternative approach, based upon Monte Carlo electron transport modeling to assign edge positions, has been developed. The specimen shape is parameterized, and parameters are iteratively adjusted to produce the best least squares fit to the measured image. Because Monte Carlo simulators are too slow to be used directly in such an iterative calculation, the Monte Carlo technique is used relatively few times to construct a library of results for parameters spanning the process space of interest. A function that interpolates the library then becomes a surrogate that is used to rapidly compute the model function as needed. This procedure has yielded measurement results from top-down SEM images that are in good agreement with cross-section measurements and that have as much as a factor of 3 better same-site repeatability than the more traditional techniques.
- Published
- 2005
19. Scanning electron microscope measurement of width and shape of 10nm patterned lines using a JMONSEL-modeled library
- Author
-
Scott List, Daniel F. Sunday, John S. Villarrubia, Jasmeet S. Chawla, Regis J. Kline, Bin Ming, and András E. Vladár
- Subjects
Materials science ,Scanning electron microscope ,business.industry ,Scattering ,Monte Carlo method ,Electron ,Atomic and Molecular Physics, and Optics ,Secondary electrons ,Shape parameter ,Electronic, Optical and Magnetic Materials ,Optics ,Dimensional metrology ,business ,Instrumentation ,Critical dimension - Abstract
The width and shape of 10 nm to 12 nm wide lithographically patterned SiO2 lines were measured in the scanning electron microscope by fitting the measured intensity vs. position to a physics-based model in which the lines' widths and shapes are parameters. The approximately 32 nm pitch sample was patterned at Intel using a state-of-the-art pitch quartering process. Their narrow widths and asymmetrical shapes are representative of near-future generation transistor gates. These pose a challenge: the narrowness because electrons landing near one edge may scatter out of the other, so that the intensity profile at each edge becomes width-dependent, and the asymmetry because the shape requires more parameters to describe and measure. Modeling was performed by JMONSEL (Java Monte Carlo Simulation of Secondary Electrons), which produces a predicted yield vs. position for a given sample shape and composition. The simulator produces a library of predicted profiles for varying sample geometry. Shape parameter values are adjusted until interpolation of the library with those values best matches the measured image. Profiles thereby determined agreed with those determined by transmission electron microscopy and critical dimension small-angle x-ray scattering to better than 1 nm.
- Published
- 2014
20. 3D Monte Carlo modeling of the SEM: Are there applications to photomask metrology?
- Author
-
John S. Villarrubia, Michael T. Postek, and András E. Vladár
- Subjects
Image formation ,Optics ,Materials science ,business.industry ,Scanning electron microscope ,Dimensional metrology ,Monte Carlo method ,Sensitivity (control systems) ,Photomask ,business ,Secondary electrons ,Metrology - Abstract
The ability to model the effect of fields due to charges trapped in insulators with floating conductors has been added to JMONSEL (Java Monte Carlo simulator for Secondary Electrons) and applied to a simple photomask metal on glass geometry. These capabilities are prerequisites if model-based scanning electron microscope (SEM) metrology is to be extended beyond wafer to photomask applications, where such samples must frequently be measured. Model-based SEM is an alternative to the customary threshold- or gradient-based approach. It is more demanding inasmuch as it requires a model of the physics of image formation, but the reward is greater accuracy, lower sensitivity to secondary sample characteristics (e.g., edge shape) that affect the intensity, and information about 3D geometrical shape (not simply the width) of the measured features. The prerequisites are ability to measure a signal, such as the SEM image, that is sensitive to changes in the parameters one wishes to measure and a model that describes the relationship between the signal and the parameters. The simulation shows the development of the potential energy barrier to electron escape during an initial transient charging-up phase, accompanied by increasing electron recapture and stabilization of the average yield.
- Published
- 2014
21. Optimizing hybrid metrology through a consistent multi-tool parameter set and uncertainty model
- Author
-
Daniel F. Sunday, Alok Vaid, András E. Vladár, N. F. Zhang, John S. Villarrubia, Hui Zhou, Joseph Kline, Bryan M. Barnes, and Richard M. Silver
- Subjects
Set (abstract data type) ,Optics ,business.industry ,Feature (computer vision) ,Dimensional metrology ,Electronic engineering ,Measurement uncertainty ,Noise (video) ,business ,Throughput (business) ,Critical dimension ,Metrology - Abstract
There has been significant interest in hybrid metrology as a novel method for reducing overall measurement uncertainty and optimizing measurement throughput (speed) through rigorous combinations of two or more different measurement techniques into a single result. This approach is essential for advanced 3-D metrology when performing model-based critical dimension measurements. However, a number of fundamental challenges present themselves with regard to consistent noise and measurement uncertainty models across hardware platforms, and the need for a standardized set of model parameters. This is of paramount concern when the various techniques have substantially different models and underlying physics. In this paper we present realistic examples using scanning electron microscopy, atomic force microscopy, and optical critical dimension (CD) methods applied to sub-20 nm dense feature sets. We will show reduced measurement uncertainties using hybrid metrology on 15 nm CD features and evaluate approaches to adapt quantitative hybrid metrology into a high volume manufacturing environment.
- Published
- 2014
22. 10nm three-dimensional CD-SEM metrology
- Author
-
Bin Ming, Michael T. Postek, John S. Villarrubia, Scott List, Jasmeet S. Chawla, András E. Vladár, and Joseph Kline
- Subjects
Materials science ,business.industry ,Scattering ,Scanning electron microscope ,Monte Carlo method ,law.invention ,Metrology ,Optics ,Tilt (optics) ,Transmission electron microscopy ,law ,Electron microscope ,business ,Critical dimension - Abstract
The shape and dimensions of a challenging pattern have been measured using a model-based library scanning electron microscope (MBL SEM) technique. The sample consisted of a 4-line repeating pattern. Lines were narrow (10 nm), asymmetric (different edge angles and significant rounding on one corner but not the other), and situated in a complex neighborhood, with neighboring lines as little as 10 nm or as much as 28 nm distant. The shape cross-section determined by this method was compared to transmission electron microscopy (TEM) and critical dimension small angle x-ray scattering (CD-SAXS) measurements of the same sample with good agreement. A recently-developed image composition method was used to obtain sharp SEM images, in which blur from vibration and drift were minimized. A Monte Carlo SEM simulator (JMONSEL) produced a model-based library that was interpolated to produce the best match to measured SEM images. Three geometrical and instrument parameterizations were tried. The first was a trapezoidal geometry. In the second one corner was significantly rounded. In the last, the electron beam was permitted to arrive with stray tilt. At each stage, the fit to the data improved by a statistically significant amount, demonstrating that the measurement remained sensitive to the new parameter. Because the measured values represent the average unit cell, the associated repeatabilities are at the tenths of a nanometer level, similar to scatterometry and other area-averaging techniques, but the SEM’s native high spatial resolution also permitted observation of defects and other local departures from the average.
- Published
- 2014
23. Nanoindentation of polymers: an overview
- Author
-
Greg Meyers, Mark R. VanLandingham, John S. Villarrubia, and William F. Guthrie
- Subjects
chemistry.chemical_classification ,Materials science ,Polymers and Plastics ,Viscoplasticity ,Organic Chemistry ,Modulus ,Nanotechnology ,Polymer ,Nanoindentation ,Condensed Matter Physics ,Viscoelasticity ,Characterization (materials science) ,chemistry ,Indentation ,Materials Chemistry ,Composite material ,Elastic modulus - Abstract
In this paper, the application of instrumented indentation devices to the measurement of the elastic modulus of polymeric materials is reviewed. This review includes a summary of traditional analyses of load-penetration data and a discussion of associated uncertainties. Also, the use of scanning probe microscopes to measure the nanoscale mechanical response of polymers is discussed, particularly with regard to the associated limitations. The application of these methods to polymers often leads to measurements of elastic modulus that are somewhat high relative to bulk measurements with potentially artificial trends in modulus as a function of penetration depth. Also, power law fits to indentation unloading curves are often a poor representation of the actual data, and the power law exponents tend to fall outside the theoretical range. These problems are likely caused by viscoelasticity, the effects of which have only been studied recently. Advancement of nanoindentation testing toward quantitative characterization of polymer properties will require materialindependent calibration procedures, polymer reference materials, advances in instrumentation, and new testing and analysis procedures that account for viscoelastic and viscoplastic polymer behavior.
- Published
- 2001
24. Experimental test of blind tip reconstruction for scanning probe microscopy
- Author
-
Jun-Feng Song, John S. Villarrubia, L.S. Dongmo, Samuel N. Jones, Thomas B. Renegar, and Michael T. Postek
- Subjects
Materials science ,Scanning electron microscope ,business.industry ,Diamond ,Iterative reconstruction ,Repeatability ,engineering.material ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Scanning probe microscopy ,Optics ,Dimensional metrology ,engineering ,business ,Stylus ,Instrumentation ,Critical dimension - Abstract
Determination of the tip geometry is a prerequisite to converting the scanning probe microscope (SPM) from a simple imaging instrument to a tool that can perform width measurements accurately. Recently we developed blind reconstruction, a method to characterize the SPM tip shape. In principle this method allows estimation of the tip shape from an image of a tip characterizer sample that need not be known independently. In this work, we compare blind reconstruction results to those obtained by scanning electron microscopy for two diamond stylus profiler tips, one of which has a gentle shape and the other a more complicated profile. Of the two comparisons, the poorer agreement is still better than 30 nm for parts of the tip within a several micrometer neighborhood of the apex. In both cases the differences are comparable to the combined standard uncertainties of the measurements. We estimate uncertainties from five sources, the most significant of which is the repeatability of the stylus profiling instrument. In a separate measurement we determine the geometry of a silicon nitride SPM tip. The measured radius, 4-fold symmetry, included angle, and tilt are all consistent with expectations for such a tip.
- Published
- 2000
25. Comparison of Secondary, Backscattered and Low Loss Electron Imaging for Dimensional Measurements in the Scanning Electron Microscope
- Author
-
Michael T. Postek, Atsushi Muto, John S. Villarrubia, and András E. Vladár
- Subjects
Conventional transmission electron microscope ,Materials science ,business.industry ,Scanning confocal electron microscopy ,law.invention ,Annular dark-field imaging ,Optics ,Electron tomography ,law ,Scanning transmission electron microscopy ,Electron microscope ,Electron beam-induced deposition ,business ,Instrumentation ,Environmental scanning electron microscope - Published
- 2015
26. Algorithms for Scanned Probe Microscope Image Simulation, Surface Reconstruction, and Tip Estimation
- Author
-
John S. Villarrubia
- Subjects
Materials science ,Microscope ,tip artifacts ,Atomic force acoustic microscopy ,Scanning capacitance microscopy ,algorithms ,Article ,law.invention ,Scanning probe microscopy ,blind reconstruction ,Optics ,law ,surface reconstruction ,mathematical morphology ,tip estimation ,dimensional metrology ,atomic force microscopy ,business.industry ,General Engineering ,scanned probe microscopy ,Conductive atomic force microscopy ,image simulation ,scanning tunneling microscopy ,Scanning tunneling microscope ,business ,Non-contact atomic force microscopy ,Surface reconstruction - Abstract
To the extent that tips are not perfectly sharp, images produced by scanned probe microscopies (SPM) such as atomic force microscopy and scanning tunneling microscopy are only approximations of the specimen surface. Tip-induced distortions are significant whenever the specimen contains features with aspect ratios comparable to the tip's. Treatment of the tip-surface interaction as a simple geometrical exclusion allows calculation of many quantities important for SPM dimensional metrology. Algorithms for many of these are provided here, including the following: (1) calculating an image given a specimen and a tip (dilation), (2) reconstructing the specimen surface given its image and the tip (erosion), (3) reconstructing the tip shape from the image of a known "tip characterizer" (erosion again), and (4) estimating the tip shape from an image of an unknown tip characterizer (blind reconstruction). Blind reconstruction, previously demonstrated only for simulated noiseless images, is here extended to images with noise or other experimental artifacts. The main body of the paper serves as a programmer's and user's guide. It includes theoretical background for all of the algorithms, detailed discussion of some algorithmic problems of interest to programmers, and practical recommendations for users.
- Published
- 1997
27. Scanning electron microscopy imaging of ultra-high aspect ratio hole features
- Author
-
John S. Villarrubia, Aron Cepler, Benjamin Bunday, and Bradley L. Thiel
- Subjects
Materials science ,business.industry ,Scanning electron microscope ,Integrated circuit ,Signal ,Noise floor ,Metrology ,law.invention ,Optics ,law ,business ,Critical dimension ,Low voltage ,Voltage - Abstract
In-line, non-destructive process control metrology of high aspect ratio (HAR) holes and trenches has long been a known gap in metrology. Imaging the bottoms of at-node size contact holes in oxide with aspect rations beyond 10:1 has not yet been demonstrated. Nevertheless, holes and trenches of 30:1, 40:1, or even 60:1 will soon enter production, with these etches being applied to various homogeneous and multi-layer stacks of Si and SiO2. The need comes from Moore's Law and increasing functional density on microchips, on which true 3D memory devices will soon be manufactured. These can take many different forms, but a common building block will be these ultra-HAR etched features. In this work, we show experimental results and simulations from the NIST JMONSEL program to assess the feasibility of measuring such features using both conventional low voltage scanning electron microscopy (SEM) and higher beam energies and low vacuum conditions to ameliorate charging. In our measurements, higher voltage SEM did not improve upon conventional critical dimension (CD)-SEM. Simulations suggest the reason is a failure to overcome a negative oxide potential. Although a signal can in principle be detected from the bottom of contact holes in typical imaging conditions in the CD-SEM, it is likely that it will be very small and possibly below the noise floor.
- Published
- 2012
28. Can we get 3D-CD metrology right?
- Author
-
Petr Cizmar, Michael T. Postek, John S. Villarrubia, and András E. Vladár
- Subjects
Computer science ,Atomic force microscopy ,Scanning electron microscope ,business.industry ,Integrated circuit ,Photoresist ,Lithography process ,law.invention ,Metrology ,Optics ,Resist ,Optical proximity correction ,law ,Dimensional metrology ,Electronic engineering ,Electron microscope ,Photolithography ,business ,Lithography - Abstract
Our world is three-dimensional, and so are the integrated circuits (ICs), they have always been. In the past, for a long time, we have been very fortunate, because it was enough to measure a simple critical dimension (CD), the width of the resist line, to keep IC production under acceptable control. This requirement has changed in the last few years to contour and now to three-dimensional measurements. Optical lithography is printing photoresist features that are significantly smaller than the wavelength of the light used, and therefore it is indispensable to use optical proximity correction (OPC) methods. This includes modeling and compensation for various errors in the lithography process down to sub-nanometer, essentially atomic levels. The process has to rely on sophisticated and complex simulations and on accurate and highly repeatable dimensional metrology. The necessary dimensional metrology is beyond the conventional one-dimensional line width measurements, and must include two - and three-dimensional measurements of the contours and shapes of structures. Contour metrology needs accurate and highly repeatable measurements on sets and individual OPC structures, for which the critical dimension measurement scanning electron microscope (CD-SEM) is the key metrology tool. Three-dimensional (3D) metrology is now indispensable for IC technology, but current metrology tools and methods cannot fulfill the requirements. We believe that with the implementation of new methods it is feasible to develop 3D metrology that will well serve IC production, even on structures in the few nanometer-size range.
- Published
- 2012
29. Morphological estimation of tip geometry for scanned probe microscopy
- Author
-
John S. Villarrubia
- Subjects
Surface (mathematics) ,Materials science ,business.industry ,Geometry ,Surfaces and Interfaces ,Mathematical morphology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,law.invention ,Optics ,Feature (computer vision) ,law ,Dimensional metrology ,Microscopy ,Materials Chemistry ,Point (geometry) ,Scanning tunneling microscope ,business ,Surface reconstruction - Abstract
Morphological constraints inherent in the imaging process limit the possible shapes of the tip with which any given tunneling microscope or atomic force microscope image could have been taken. Broad tips do not produce narrow image protrusions. Therefore, feature sizes within the image may be used to place an upper bound on the size of the tip. In this paper, mathematical morphology is used to derive, for each point on an image, a corresponding bounding surface for the tip. The actual tip must be equal to or smaller than the largest tip which satisfies all of the constraints. Example calculations are performed, demonstrating that if the imaged specimen contains sharp features and high relief, the tip shape deduced by this method will be a good estimate of the actual one. Once known, the tip geometry can be “deconvoluted” from images to recover parts of the actual surface which were accessible to the tip.
- Published
- 1994
30. Optimizing hybrid metrology: rigorous implementation of Bayesian and combined regression
- Author
-
Richard M. Silver, Mark-Alexander Henn, John S. Villarrubia, Bin Ming, Hui Zhou, András E. Vladár, Bryan M. Barnes, and Nien Fan Zhang
- Subjects
Scanning electron microscope ,Computer science ,media_common.quotation_subject ,Bayesian probability ,Monte Carlo method ,Nanotechnology ,Article ,law.invention ,Data modeling ,law ,Calibration ,Feature (machine learning) ,Electrical and Electronic Engineering ,Function (engineering) ,media_common ,Mechanical Engineering ,Condensed Matter Physics ,Regression ,Atomic and Molecular Physics, and Optics ,Characterization (materials science) ,Electronic, Optical and Magnetic Materials ,Reliability engineering ,Metrology ,NIST ,Electron microscope ,Critical dimension - Abstract
Hybrid metrology, e.g., the combination of several measurement techniques to determine critical dimensions, is an increasingly important approach to meet the needs of the semiconductor industry. A proper use of hybrid metrology may yield not only more reliable estimates for the quantitative characterization of 3-D structures but also a more realistic estimation of the corresponding uncertainties. Recent developments at the National Institute of Standards and Technology (NIST) feature the combination of optical critical dimension (OCD) measurements and scanning electron microscope (SEM) results. The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be otherwise feasible. However, combining techniques gives rise to essential challenges in error analysis and comparing results from different instrument models, especially the effect of systematic and highly correlated errors in the measurement on the χ2 function that is minimized. Both hypothetical examples and measurement data are used to illustrate solutions to these challenges.
- Published
- 2015
31. Proximity-associated errors in contour metrology
- Author
-
John S. Villarrubia, Ronald G. Dixson, and András E. Vladár
- Subjects
Physics ,Brightness ,Optics ,Offset (computer science) ,Scanning electron microscope ,business.industry ,Monte Carlo method ,Electron ,business ,Critical dimension ,Secondary electrons ,Metrology - Abstract
In contour metrology the CD-SEM (critical dimension scanning electron microscope) assigns a continuous boundary to extended features in an image. The boundary is typically assigned as a simple function of the signal intensity, for example by a brightness threshold or gradient. However, the neighborhood of different points along the feature boundary may vary considerably. Some parts of the boundary may have close neighboring features while others are relatively isolated. Neighboring features can obstruct the escape of secondary electrons. Varying proximity of neighbors therefore represents an influence on detected intensity. An intensity difference caused by a neighborhood difference can be incorrectly interpreted as a contour shift, for example when the contour passes from an isolated neighborhood to a dense one. The magnitude of this offset variation is estimated using images produced by JMONSEL, a Monte Carlo simulator of SEM secondary electron imaging, from simple model test patterns with varying neighborhoods. Similar structures were subsequently measured by both SEM and atomic force microscopy (AFM). Apparent shifts (i.e., errors) on the order of 0.5 nm to 1.0 nm for each edge were observed in both modeled and measured SEM images as compared to AFM when edge positions were assigned by using a fixed image brightness contour. Assignment of edges by brightness relative to the local background and local maximum brightness resulted in measurements that were less sensitive to neighborhood differences.
- Published
- 2010
32. Sensitivity of SEM width measurements to model assumptions
- Author
-
John S. Villarrubia and Zejun Ding
- Subjects
Beam diameter ,Optics ,Materials science ,Scattering ,business.industry ,Scanning electron microscope ,Phenomenological model ,Electron ,business ,Critical dimension ,Secondary electrons ,Metrology - Abstract
The most accurate width measurements in a scanning electron microscope (SEM) re quire raw images to be corrected forinstrumental artifacts. Corrections are based upon a physical model that describes the sample-instrument interaction.Models differ in their approaches or ap proximations in the treatment of scatte ring cross sections, secondary electron (SE)generation, material properties, scattering at the surface potential barrier, etc. Corrections that use different modelsproduce different width estimates. We have implemented eight models in the JMONSEL SEM simulator. Two arephenomenological models based upon fitting measured yield vs. energy curves. Two are based upon a binary scatteringmodel. Four are variants of a dielectric function approach. These models are compared to each other in pairwisesimulations in which the output of one model is fit to the other by using adjustable parameters similar to those used to fitmeasured data. The differences in their edge position parameters is then a measure of how much these models differ withrespect to a width measurement. With electron landing energy, beam width, and other parameters typical of those used inindustrial critical dimension measurements, the models agreed to within ± 2.0 nm on silicon and ± 2.6 nm on copper in95% of comparisons.Keywords: critical dimension (CD), model-based metrology, Scanning Electron Microscopy (SEM), secondary electrongeneration, uncertainty
- Published
- 2009
33. Linewidth Roughness and Cross-sectional Measurements of Sub-50 nm Structures Using CD-SAXS and CD-SEM
- Author
-
Christopher L. Soles, James S. Clarke, Benjamin Bunday, Wei-En Fu, D.L. Ho, Chengqing Wang, Ronald L. Jones, Kwang-Woo Choi, Wen-Li Wu, E.K. Lin, and John S. Villarrubia
- Subjects
Laser linewidth ,Cross section (physics) ,Materials science ,Optics ,business.industry ,Scattering ,Extreme ultraviolet ,Extreme ultraviolet lithography ,Surface roughness ,Optoelectronics ,business ,Collimated light ,Light scattering - Abstract
Critical dimension small angle X-ray scattering (CD- SAXS) is a metrology platform that is capable of measuring the average cross section and linewidth roughness (LWR) in test patterns with pitches ranging from 10 to 500 nm with sub-nm precision. These capabilities are obtained by measuring and modeling the scattering intensities of a collimated X-ray beam with sub-nm wavelength from a periodic pattern, such as those found in optical scatterometry targets. In this work, we evaluated the capability of both synchrotron-based and laboratory-scale CD-SAXS for characterizing LWR from measurements of periodic line/space patterns fabricated with extreme ultraviolet (EUV) lithography with sub-50 nm linewidths and designed with programmed roughness amplitude and frequency. For these patterns, CD-SAXS can provide high precision data on cross section dimension, including sidewall angle, line height, linewidth and pitch, as well as the amplitude of LWR. We will also discuss the status of ongoing efforts to compare quantitatively the CD- SAXS data with top-down scanning electron microscopy (CD- SEM) measurements.
- Published
- 2008
34. Blind estimation of general tip shape in AFM imaging
- Author
-
John S. Villarrubia, Fenglei Tian, and Xiaoping Qian
- Subjects
Microscope ,Materials science ,business.industry ,Atomic force microscopy ,Servo control ,Mathematical morphology ,3d shapes ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Optics ,Reentrancy ,law ,Dilation (morphology) ,Undercut ,business ,Instrumentation - Abstract
The use of flared tip and bi-directional servo control in some recent atomic force microscopes (AFM) has made it possible for these advanced AFMs to image structures of general shapes with undercut surfaces. AFM images are distorted representations of sample surfaces due to the dilation produced by the finite size of the tip. It is necessary to obtain the tip shape in order to correct such tip distortion. This paper presents a noise-tolerant approach that can for the first time estimate a general 3-dimensional (3D) tip shape from its scanned image in such AFMs. It extends an existing blind tip estimation method. With the samples, images, and tips described by dexels, a representation that can describe general 3D shapes, the new approach can estimate general tip shapes, including reentrant features such as undercut lines.
- Published
- 2008
35. Linewidth roughness and cross-sectional measurements of sub-50 nm structures with CD-SAXS and CD-SEM
- Author
-
Wen-Li Wu, Eric K. Lin, Chengqing Wang, Christopher L. Soles, Kwang-Woo Choi, James S. Clarke, John S. Villarrubia, Ronald L. Jones, and Benjamin Bunday
- Subjects
Laser linewidth ,Cross section (physics) ,Materials science ,Optics ,Scattering ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Small-angle scattering ,business ,Critical dimension ,Collimated light - Abstract
Critical dimension small angle X-ray scattering (CD-SAXS) is a measurement platform that is capable of measuring the average cross section and sidewall roughness in patterns ranging from (10 to 500) nm in pitch with sub-nm precision. These capabilities are obtained by measuring and modeling the scattering intensities of a collimated X-ray beam with sub-nanometer wavelength from a periodic pattern, such as those found in optical scatterometry targets. In this work, we evaluated the capability a synchrotron-based CD-SAXS measurements to characterize linewidth roughness (LWR) by measuring periodic line/space patterns fabricated with extreme ultraviolet (EUV) lithography with sub-50 nm linewidths and designed with programmed roughness amplitude and frequency. For these patterns, CD-SAXS can provide high precision data on cross-section dimensions, including sidewall angle, line height, line width, and pitch, as well as the LWR amplitude. We also discuss the status of ongoing efforts to compare quantitatively the CD-SAXS data with topdown critical dimension scanning electron microscopy (CD-SEM) measurements.
- Published
- 2008
36. Accurate and traceable dimensional metrology with a reference CD-SEM
- Author
-
András E. Vladár, Martin Oral, Petr Cizmar, John S. Villarrubia, and Michael T. Postek
- Subjects
Materials science ,business.industry ,Instrumentation ,Nova (laser) ,Metrology ,law.invention ,Optics ,law ,Dimensional metrology ,NIST ,Photolithography ,business ,Image resolution ,Electron gun - Abstract
NIST is currently developing two Reference scanning electron microscopes (SEMs), which are based on FEI Nova 600* variable vacuum, and on FEI Helios* dual-beam instruments. These were installed in the new Advanced Metrology Laboratory at NIST where the temperature variation is under 0.1 C° and the humidity variation is under 1%. Both SEMs are equipped with field emission electron guns and are capable of better than 1 nm spatial resolution. The ESEM has large sample capability, allowing for measurements on 200 mm wafers, 300 mm wafers and 150 mm photolithography masks, with a 100 mm by 100 mm measurement area in the center. The dual-beam instrument's laser stage will work on smaller samples and has a 50 mm by 50 mm measurement area. The variable vacuum instrument is especially suitable for measurements on a large and diverse set of samples without the use of conductive coating. These will be among the most scrutinized of SEMs. A detailed, thorough work of combined measurements and optimization of the SEMs themselves is underway, which includes the assessment of resolution, signal transfer characteristics, distortion and noise characteristics in various working modes. Accurate three-dimensional modeling, including all aspects of beam formation, signal generation, detection and processing is under development. Establishment of modeling and measurement methods to ascertain the threedimensional shape and size of the electron beam is also underway. All these are needed to properly interpret the obtained data in accurate, physics-based measurements and will permit three-dimensional size and shape determination on a scale ranging from a few nanometers up to a few centimeters. Accuracy and traceability will be ensured through calibrated laser interferometry.
- Published
- 2008
37. Formation of Si(111)-(1×1)Cl
- Author
-
John J. Boland and John S. Villarrubia
- Subjects
Mass transport ,Crystallography ,Materials science ,Silicon ,chemistry ,law ,chemistry.chemical_element ,Surface structure ,Scanning tunneling microscope ,law.invention - Abstract
Using scanning tunneling microscopy, we have studied the structural modifications induced by Cl upon reaction with Si(111)-(7\ifmmode\times\else\texttimes\fi{}7). At low coverages, reacted and unreacted sites are distinguishable in both current-voltage curves and topographs. At saturation coverage, annealing produces extensive mass transport in which most of the adatom layer is stripped away and accumulated in pyramidal Si structures, permitting the complete underlying Si rest-atom layer to be imaged. Much of this layer initially exists as nearly-adatom-free Cl-stabilized 7\ifmmode\times\else\texttimes\fi{}7 domains, but further annealing converts it slowly to the more favorable bulklike 1\ifmmode\times\else\texttimes\fi{}1 structure. Structures intermediate between the 7\ifmmode\times\else\texttimes\fi{}7 and 1\ifmmode\times\else\texttimes\fi{}1 are observed.
- Published
- 1990
38. Image simulation and surface reconstruction of undercut features in atomic force microscopy
- Author
-
Fenglei Tian, Xiaoping Qian, John S. Villarrubia, and Ronald G. Dixson
- Subjects
Microscope ,business.industry ,Atomic force microscopy ,Computer science ,Mathematical morphology ,Dexel ,law.invention ,Scanning probe microscopy ,Optics ,law ,Dilation (morphology) ,Undercut ,business ,Lithography ,Surface reconstruction - Abstract
CD-AFMs (critical dimension atomic force microscopes) are instruments with servo-control of the tip in more than one direction. With appropriately "boot-shaped" or flared tips, such instruments can image vertical or even undercut features. As with any AFM, the image is a dilation of the sample shape with the tip shape. Accurate extraction of the CD requires a correction for the tip effect. Analytical methods to correct images for the tip shape have been available for some time for the traditional (vertical feedback only) AFMs, but were until recently unavailable for instruments with multi-dimensional feedback. Dahlen et al. [J. Vac. Sci. Technol. B23, pp. 2297-2303, (2005)] recently introduced a swept-volume approach, implemented for 2-dimensional (2D) feedback. It permits image simulation and sample reconstruction, techniques previously developed for the traditional instruments, to be extended for the newer tools. We have introduced [X. Qian and J. S. Villarrubia, Ultramicroscopy, in press] an alternative dexel-based method, that does the same in either 2D or 3D. This paper describes the application of this method to sample shapes of interest in semiconductor manufacturing. When the tip shape is known (e.g., by prior measurement using a tip characterizer) a 3D sample surface may be reconstructed from its 3D image. Basing the CD measurement upon such a reconstruction is shown here to remove some measurement artifacts that are not removed (or are incompletely removed) by the existing measurement procedures.
- Published
- 2007
39. Monte Carlo modeling of secondary electron imaging in three dimensions
- Author
-
John S. Villarrubia, Nicholas W. M. Ritchie, and Jeremiah R. Lowney
- Subjects
Physics ,Computer simulation ,business.industry ,Transistor ,Monte Carlo method ,Electron ,Secondary electrons ,Computational physics ,Metrology ,law.invention ,Constructive solid geometry ,Optics ,law ,NIST ,business - Abstract
Measurements of critical dimensions (CDs), roughness, and other dimensional aspects of semiconductor electronics products rely upon secondary electron (SE) images in the scanning electron microscope (SEM). These images are subject to artifacts at the nanometer size scale that is relevant for many of these measurements. The most accurate measurements for this reason depend upon models of the probe-sample interaction in order to perform corrections. MONSEL, a Monte Carlo simulator intended primarily for CD metrology, has been providing the necessary modeling. However, restrictions on the permitted sample shapes are increasingly constraining as the industry's measurement needs evolve towards inherently 3-dimensional structures. We report here results of a collaborative project, in which the MONSEL physics has been combined with the 3D capabilities of NISTMonte, another NIST Monte Carlo simulator that was previously used principally to model higher energy electrons and x-rays. Results from the new simulator agree very closely with the original MONSEL for samples within the repertoire of both codes. The new code's predicted SE yield variation with angle of incidence agrees well with preexisting measurements for light, medium, and heavy elements. Capabilities of the new code are demonstrated on a model of a FinFET transistor.
- Published
- 2007
40. Line edge roughness characterization of sub-50nm structures using CD-SAXS: round-robin benchmark results
- Author
-
Jeanette M. Roberts, Benjamin Bunday, Kwang-Woo Choi, Bryan J. Rice, Chengqing Wang, Ronald L. Jones, James S. Clarke, Michael J. Leeson, Wen-Li Wu, Robert L. Bristol, John S. Villarrubia, and Eric K. Lin
- Subjects
Diffraction ,Wavelength ,Optics ,Amplitude ,Materials science ,Scattering ,business.industry ,Line (geometry) ,Surface finish ,Edge (geometry) ,business ,Critical dimension - Abstract
The need to characterize line edge and line width roughness in patterns with sub-50 nm critical dimension challenges existing platforms based on electron microscopy and optical scatterometry. The development of x-ray based metrology platforms provides a potential route to characterize a variety of parameters related to line edge roughness by analyzing the diffracted intensity from a periodic array of test patterns. In this study, data from a series of photoresist line/space patterns featuring programmed line width roughness measured by critical dimension small angle x-ray scattering (CDSAXS) is presented. For samples with periodic roughness, CD-SAXS provides the wavelength and amplitude of the periodic roughness through satellite diffraction peaks. In addition, the rate of decay of intensity, termed an effective "Debye-Waller" factor, as a function of scattering vector provides a measure of the fluctuation in line volume. CDSAXS data are compared to analogous values obtained from critical dimension scanning electron microscopy (CDSEM). Correlations between the techniques exist, however significant differences are observed for the current samples. Calibrated atomic force microscopy (C-AFM) data reveal large fluctuations in both line height and line width, providing a potential explanation for the observed disparity between CD-SEM and CD-SAXS.
- Published
- 2007
41. Line Edge Roughness and Cross Sectional Characterization of Sub-50 nm Structures Using Critical Dimension Small Angle X-ray Scattering
- Author
-
Chengqing Wang, Ronald L. Jones, Eric K. Lin, Wen-li Wu, Derek L. Ho, John S. Villarrubia, Kwang-Woo Choi, James S. Clarke, Jeanette Roberts, Robert Bristol, Benjamin Bunday, David G. Seiler, Alain C. Diebold, Robert McDonald, C. Michael Garner, Dan Herr, Rajinder P. Khosla, and Erik M. Secula
- Subjects
Diffraction ,Wavelength ,Optics ,Materials science ,Scattering ,business.industry ,Microscopy ,Surface finish ,Small-angle scattering ,Debye–Waller factor ,business ,Critical dimension - Abstract
The need to characterize line edge and line width roughness in patterns with sub‐50 nm critical dimensions challenges existing platforms based on electron microscopy and optical scatterometry. The development of x‐ray based metrology platforms provides a potential route to characterize a variety of parameters related to line edge roughness by analyzing the diffracted intensity from a periodic array of test patterns. In this study, data from a series of photoresist line/space patterns featuring programmed line width roughness are measured by critical dimension small angle x‐ray scattering (CD‐SAXS). For samples with designed periodic roughness, CD‐SAXS provides the wavelength and amplitude of the periodic roughness through satellite diffraction peaks. For real world applications, the rate of decay of intensity, termed an effective “Debye‐Waller” factor in CD‐SAXS, provides an overall measure of the defects of the patterns. CD‐SAXS data are compared to values obtained from critical dimension scanning electron microscopy (CD‐SEM). Correlations between the techniques exist, however significant differences are observed for the current samples. A tapered cross sectional profile provides a likely explanation for the observed differences between CD‐SEM and CD‐SAXS measurements.
- Published
- 2007
42. Erratum: New insights into subsurface imaging of carbon nanotubes in polymer composites via scanning electron microscopy (2015 Nanotechnology 26 085703)
- Author
-
Tinh Nguyen, J. Alexander Liddle, John S. Villarrubia, Cheol Park, Xiaohong Gu, András E. Vladár, Peter T. Lillehei, Minhua Zhao, Bin Ming, Jae-Woo Kim, and Luke J. Gibbons
- Subjects
Subsurface imaging ,Materials science ,Scanning electron microscope ,Mechanical Engineering ,Bioengineering ,Nanotechnology ,General Chemistry ,Carbon nanotube ,law.invention ,Mechanics of Materials ,law ,Polymer composites ,General Materials Science ,Electrical and Electronic Engineering - Published
- 2015
43. New insights into subsurface imaging of carbon nanotubes in polymer composites via scanning electron microscopy
- Author
-
Bin Ming, Xiaohong Gu, Peter T. Lillehei, András E. Vladár, J. Alexander Liddle, John S. Villarrubia, Jae-Woo Kim, Luke J. Gibbons, Tinh Nguyen, Minhua Zhao, and Cheol Park
- Subjects
Materials science ,Silicon ,Scanning electron microscope ,Mechanical Engineering ,Electron beam-induced current ,chemistry.chemical_element ,Bioengineering ,Nanotechnology ,General Chemistry ,Carbon nanotube ,Dielectric ,Focused ion beam ,law.invention ,chemistry ,Mechanics of Materials ,law ,General Materials Science ,Electrical and Electronic Engineering ,Lithography ,Leakage (electronics) - Abstract
Despite many studies of subsurface imaging of carbon nanotube (CNT)-polymer composites via scanning electron microscopy (SEM), significant controversy exists concerning the imaging depth and contrast mechanisms. We studied CNT-polyimide composites and, by three-dimensional reconstructions of captured stereo-pair images, determined that the maximum SEM imaging depth was typically hundreds of nanometers. The contrast mechanisms were investigated over a broad range of beam accelerating voltages from 0.3 to 30 kV, and ascribed to modulation by embedded CNTs of the effective secondary electron (SE) emission yield at the polymer surface. This modulation of the SE yield is due to non-uniform surface potential distribution resulting from current flows due to leakage and electron beam induced current. The importance of an external electric field on SEM subsurface imaging was also demonstrated. The insights gained from this study can be generally applied to SEM nondestructive subsurface imaging of conducting nanostructures embedded in dielectric matrices such as graphene-polymer composites, silicon-based single electron transistors, high resolution SEM overlay metrology or e-beam lithography, and have significant implications in nanotechnology.
- Published
- 2015
44. Bias reduction in roughness measurement through SEM noise removal
- Author
-
R Katz, Benjamin Bunday, Ram Peltinov, C D. Chase, John S. Villarrubia, and Roman Kris
- Subjects
Engineering ,Optics ,Noise measurement ,Semiconductor device fabrication ,business.industry ,Noise reduction ,Line (geometry) ,Image noise ,Surface finish ,Edge (geometry) ,business ,Critical dimension - Abstract
The importance of Critical Dimension (CD) roughness metrics such as Line and Contact edge roughness (LER, CER) and their associated width metrics (LWR, CWR) have been dealt with widely in the literature and are becoming semiconductor industry standards. With the downscaling of semiconductor fabrication technology, the accuracy of these metrics is of increasing importance. One important challenge is to separate the image noise (present in any SEM image) from the physically present roughness. An approach for the removal of the non-systematic image noise was proposed by J.Villarrubia and B.Bunday [Proc. SPIE 5752, 480 (2005)]. In the presented work this approach is tested and extended to deal with the challenge of noise removal in the presence of various types of systematic phenomena present in the imaging process such as CD variation. The study was carried out by means of simulated LWR and using real measurements.
- Published
- 2006
45. Influence of focus variation on linewidth measurements
- Author
-
John S. Villarrubia, András E. Vladár, and Maki Tanaka
- Subjects
Laser linewidth ,Observational error ,Optics ,Materials science ,business.industry ,Line (geometry) ,Repeatability ,Focus variation ,Focus (optics) ,business ,Image resolution ,Edge detection - Abstract
The influence of spatial resolution on linewidth measurements in the critical dimension scanning electron microscope (CD-SEM) was investigated experimentally. Measurement bias variation and measurement repeatabilities of four edge detection algorithms were evaluated with a series of images at varying focus in order to determine the effect of resolution variation. Three of these algorithms, maximum derivative, regression to baseline, and sigmoidal fit, are commonly used on commercial CD-SEMs, and the other is a model-based library (MBL) approach that detects the line edge by comparing CD-SEM line scans to a library of simulated line scans. MBL is able to take into account beam size and other parameters (including sidewall angle of the line structure). These algorithms were applied to images of polycrystalline silicon lines with various sidewall angles taken under different focus conditions. In general, it was observed that repeatability is degraded by defocus, and bias varied with focus and target shape. These results indicate that if two or more tools had different resolutions, measurement results would have different biases depending on target shape. The amounts of errors depend on the algorithms, with MBL the most stable against focus variation. However, it still has some systematic errors and outliers far from best focus. Investigations of electron distributions and the effect of electron incident angle were performed for a better model.
- Published
- 2005
46. Unbiased estimation of linewidth roughness
- Author
-
John S. Villarrubia and Benjamin Bunday
- Subjects
Laser linewidth ,Materials science ,Optics ,business.industry ,Instrumentation ,Metric (mathematics) ,Surface roughness ,Image noise ,Surface finish ,business ,Standard deviation ,Metrology - Abstract
Linewidth roughness (LWR) is usually estimated simply as three standard deviations of the linewidth. The effect of image noise upon this metric includes a positive nonrandom component. The metric is therefore subject to a bias or "systematic error" that we have estimated can be comparable in size to the roughness itself for samples as smooth as required by the industry roadmap. We illustrate the problem using scanning electron microscope images of rough lines. We propose simple changes to the measurement algorithm that, if adopted by metrology instrument suppliers, would permit estimation of LWR without bias caused by image noise.
- Published
- 2005
47. Issues in Line Edge and Linewidth Roughness Metrology
- Author
-
John S. Villarrubia
- Subjects
Root mean square ,Physics ,Optics ,Amplitude ,Roughness length ,Observational error ,business.industry ,Surface finish ,Edge (geometry) ,business ,Noise (electronics) ,Metrology - Abstract
In semiconductor electronics applications, line edge and linewidth roughness are generally measured using a root mean square (RMS) metric. The true value of RMS roughness depends upon the length of edge or line that is measured and the chosen sampling interval. Additionally, the true value is obscured by a number of measurement errors: Different finite‐length sections of line have randomly differing roughnesses, producing a sampling error, the expected magnitude of which depends upon the length of line that is sampled and details of its roughness power spectrum. Noise in the microscope images from which roughness is computed results in both a random measurement error and a non‐random measurement bias. These issues and proposed solutions in the literature are reviewed. It is also suggested that there may be a plausible role for non‐RMS metrics, for example estimation of the likelihood of width or edge position extremes based upon direct measurements of the roughness amplitude density function.
- Published
- 2005
48. Determination of optimal parameters for CD-SEM measurement of line-edge roughness
- Author
-
John A. Allgair, Michael Bishop, John S. Villarrubia, Ronald G. Dixson, Theodore V. Vorburger, Ndubuisi G. Orji, András E. Vladár, Donald W. McCormack, and Benjamin Bunday
- Subjects
Root mean square ,International Technology Roadmap for Semiconductors ,Engineering ,Optics ,Observational error ,business.industry ,Dimensional metrology ,NIST ,Surface finish ,business ,Noise (electronics) ,Metrology - Abstract
The measurement of line-edge roughness (LER) has recently become a topic of concern in the litho-metrology community and the semiconductor industry as a whole. The Advanced Metrology Advisory Group (AMAG), a council composed of the chief metrologists from the International SEMATECH (ISMT) consortium’s Member Companies and from the National Institute of Standards and Technology (NIST), has a project to investigate LER metrics and to direct the critical dimension scanning electron microscope (CD-SEM) supplier community towards a semiconductor industry-backed, standardized solution for implementation. The 2003 International Technology Roadmap for Semiconductors (ITRS) has included a new definition for roughness. The ITRS envisions root mean square measurements of edge and width roughness. There are other possible metrics, some of which are surveyed here. The ITRS envisions the root mean square measurements restricted to roughness wavelengths falling within a specified process-relevant range and with measurement repeatability better than a specified tolerance. This study addresses the measurement choices required to meet those specifications. An expression for the length of line that must be measured and the spacing of measurement positions along that length is derived. Noise in the image is shown to produce roughness measurement errors that have both random and nonrandom (i.e., bias) components. Measurements are reported on both UV resist and polycrystalline silicon in special test patterns with roughness typical for those materials. These measurements indicate that the sensitivity of a roughness measurement to noise depends importantly both on the choice of edge detection algorithm and the quality of the focus. Measurements are less sensitive to noise when a model-based or sigmoidal fit algorithm is used and when the images are in good focus. Using the measured roughness characteristics for UV resist lines and applying the ITRS requirements for the 90 nm technology node, the derived expression for sampling length and sampling interval implies that a length at least 8 times the node (i.e., 720 nm) must be measured at intervals of 7.5 nm or less.
- Published
- 2004
49. Dimensional metrology of resist lines using a SEM model-based library approach
- Author
-
Michael Bishop, Benjamin Bunday, John S. Villarrubia, and András E. Vladár
- Subjects
Materials science ,Scanning electron microscope ,business.industry ,Surface finish ,engineering.material ,Edge (geometry) ,Laser linewidth ,Optics ,Polycrystalline silicon ,Resist ,Dimensional metrology ,Line (geometry) ,engineering ,business - Abstract
The widths of 284 lines in a 193 nm resist were measured by two methods and the results compared. One method was scanning electron microscopy (SEM) of cross-sections. The other was a model-based library (MBL) approach in which top-down CD-SEM line scans of structures are compared to a library of simulated line scans, each one of which corresponds to a well-defined sample structure. Feature edge shapes and locations are determined by matching measured to simulated images. This way of determining critical dimensions makes use of known physics of the interaction of the electron beam with the sample, thereby removing some of the ambiguity in sample edge positions that are assigned by more arbitrary methods. Thus far, MBL has shown promise on polycrystalline silicon samples [Villarrubia et al., Proc. SPIE 4689, pp. 304-312 (2002)]. Resist lines, though important in semiconductor manufacturing, pose a more difficult problem because resist tends to shrink and charge upon electron beam exposure. These phenomena are not well characterized, and hence are difficult to include in the models used to construct libraries. Differences between the techniques had a systematic component of 3.5 nm and a random component of about 5 nm. These differences are an upper bound on measurement errors attributable to resist properties, since they are partly attributable to other causes (e.g,. linewidth roughness).
- Published
- 2004
50. Shape-sensitive linewidth measurements of resist structures
- Author
-
Andras Vladar, John S. Villarrubia, and Michale T Postek
- Subjects
Laser linewidth ,Materials science ,Resist ,business.industry ,Optoelectronics ,business - Published
- 2004
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.