Search

Your search keyword '"Gian Lorusso"' showing total 80 results

Search Constraints

Start Over You searched for: Author "Gian Lorusso" Remove constraint Author: "Gian Lorusso"
80 results on '"Gian Lorusso"'

Search Results

1. Direct yield prediction from SEM images

4. E-beam metrology-based EUVL aberration monitoring

5. High NA EUV: a challenge for metrology, an opportunity for atomic force microscopy

6. Measuring and analyzing contact hole variations in EUV lithography

7. Enabling non-actinic EUV mask inspection using carbon nanotube pellicle

8. Diagnosing and removing CD-SEM metrology artifacts

9. Massive metrology and inspection solution for EUV by area inspection SEM with machine learning technology

10. Multivariate analysis methodology for the study of massive multidimensional SEM data

11. SEM image denoising with unsupervised machine learning for better defect inspection and metrology

12. Massive e-beam metrology and inspection for analysis of EUV stochastic defect

13. The unavoidable renaissance of electron metrology in the age of high NA EUV

14. Better prediction on patterning failure mode with hotspot aware OPC modeling

15. Characterizing Variation in EUV Contact Hole Lithography

16. Roughness study on line and space patterning with chemo-epitaxy directed self-assembly

17. EB metrology of Ge channel gate-all-around FET: buckling evaluation and EB damage assessment

18. Large area EUV via yield analysis for single damascene process: voltage contrast, CD and defect metrology (Conference Presentation)

19. Using critical-dimension grazing-incidence small angle x-ray scattering to study line edge roughness (Conference Presentation)

20. Linewidth and roughness measurement of SAOP by using FIB and Planer-TEM as reference metrology

21. Unbiased roughness measurements: subtracting out SEM effects, part 3

22. Staggered pillar patterning using 0.33NA EUV lithography

23. Roughness decomposition: an on-wafer methodology to discriminate mask, metrology, and shot noise contributions

24. Deep learning nanometrology of line edge roughness

25. The hidden energy tail of low energy electrons in EUV lithography

26. Need for LWR metrology standardization: The imec roughness protocol

27. Setting up a proper power spectral density (PSD) and autocorrelation analysis for material and process characterization

28. Advanced CD-SEM imaging methodology for EPE measurements

29. The need for LWR metrology standardization: the imec roughness protocol

30. Tunable bandwidth for application-specific SAxP process enhancement

31. Computational nanometrology of line-edge roughness: noise effects, cross-line correlations and the role of etch transfer

32. Impact of annealing temperature on DSA process: toward faster assembly kinetics (Conference Presentation)

33. EUV photoresist patterning characterization for imec N7/N5 technology

34. Advanced CD-SEM solution for edge placement error characterization of BEOL pitch 32nm metal layers

35. Multifractal analysis of line-edge roughness

36. Contact inspection of Si nanowire with SEM voltage contrast

37. Enabling CD SEM metrology for 5nm technology node and beyond

38. SEM-based overlay measurement between via patterns and buried M1 patterns using high-voltage SEM

39. Variability study with CD-SEM metrology for STT-MRAM: correlation analysis between physical dimensions and electrical property of the memory element

40. Exploration of a low-temperature PEALD technology to trim and smooth 193i photoresist

41. Contact inspection and resistance–capacitance measurement of Si nanowire with SEM voltage contrast

42. Readiness of EUV Lithography for Insertion into Manufacturing: The IMEC EUV Program

44. SEM based overlay measurement between resist and buried patterns

45. Hybrid overlay metrology for high order correction by using CDSEM

46. 3D-profile measurement of advanced semiconductor features by reference metrology

47. Line width roughness accuracy analysis during pattern transfer in self-aligned quadruple patterning process

48. Special Section Guest Editorial: Control of Integrated Circuit Patterning Variance, Part 3: Pattern Roughness, Local Uniformity, and Stochastic Defects

49. Line edge roughness metrology: recent challenges and advances toward more complete and accurate measurements

50. Precise measurement of thin-film thickness in 3D-NAND device with CD-SEM

Catalog

Books, media, physical & digital resources