107 results on '"Frieder H. Baumann"'
Search Results
2. Extension of CD-TEM Towards 3D Elemental Mapping
- Author
-
Yinggang Lu, Frieder H. Baumann, Brian Popielarski, and Travis Mitchell
- Subjects
Chemical imaging ,0209 industrial biotechnology ,Materials science ,Detector ,02 engineering and technology ,Condensed Matter Physics ,Sample (graphics) ,Industrial and Manufacturing Engineering ,Electronic, Optical and Magnetic Materials ,Computational science ,law.invention ,020901 industrial engineering & automation ,Data acquisition ,law ,Electrical and Electronic Engineering ,Electron microscope ,Shadow mapping ,Spectroscopy ,Energy (signal processing) - Abstract
We show how a CD-TEM (Critical Dimension-Transmission Electron Microscope) can be used to acquire EDS (Energy Dispersive X-ray Spectroscopy) tomograms, which allow chemical mapping in 3D for most elements in the sample. This is achieved by developing a recipe using ordinary commands also used in CD-TEM programming. In addition, we demonstrate how detector shadowing can be reduced significantly by using a special specimen holder and modified grids. The recipe, which runs autonomously and automatically, is verified using examples from modern FinFET and non-volatile memory devices.
- Published
- 2020
- Full Text
- View/download PDF
3. Optimization of EDX Tomography Acquisition Geometry for Electronic Device Characterization
- Author
-
Christian Hobert, Dirk Utess, Travis Mitchell, and Frieder H. Baumann
- Subjects
Materials science ,business.industry ,Optoelectronics ,Tomography ,business ,Instrumentation ,Characterization (materials science) - Published
- 2020
- Full Text
- View/download PDF
4. Development of Ultra-thin TEM Lamella Preparation Technique and Its Application in Failure Analysis
- Author
-
Frieder H. Baumann, Kevin Davidson, Wayne Zhao, Yu Zhang, Long Men, and Brian Popielarski
- Subjects
Lamella (surface anatomy) ,Materials science ,Composite material ,Instrumentation - Published
- 2020
- Full Text
- View/download PDF
5. Innovative Grounding Methodology for Epoxy Impregnated Semiconductor Cross Sections for Electron Microscopy Inspection
- Author
-
Frieder H. Baumann, Pradip Sairam Pichumani, and Christopher Torcedo
- Subjects
Materials science ,Semiconductor ,Ground ,law ,business.industry ,visual_art ,visual_art.visual_art_medium ,Epoxy ,Electron microscope ,Composite material ,business ,Instrumentation ,law.invention - Published
- 2020
- Full Text
- View/download PDF
6. Recent Advances in VLSI Characterization using the TEM
- Author
-
Frieder H. Baumann
- Subjects
Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality - Abstract
Transmission electron microscopes have been improved in various ways over the past two decades, giving rise to new characterization techniques. Among the innovations discussed in this article are the introduction of field emission guns, the incorporation of CCD cameras and X-ray detectors, and the use of lens correction systems. Such improvements have had a significant impact on failure analysis through the emergence of new TEM techniques, including precession electron diffraction for grain and strain analysis, noise reduction processing for low dose EELS mapping of ultra-low-k materials, and EDX tomography for elemental 3D imaging of defects on a nanometer scale.
- Published
- 2019
- Full Text
- View/download PDF
7. Combine TEM with TCAD Simulation - A Novel Approach in Failure Analysis
- Author
-
Frieder H. Baumann, Yu Zhang, Edmund Banghart, Travis Mitchell, and Satish Kodali
- Subjects
Materials science ,Instrumentation - Published
- 2021
- Full Text
- View/download PDF
8. Extension of CD-TEM towards EDS Tomography
- Author
-
Brian Popielarski, Frieder H. Baumann, and Yinggang Lu
- Subjects
010302 applied physics ,Chemical imaging ,Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Optics ,Electron tomography ,Transmission electron microscopy ,0103 physical sciences ,Tomography ,0210 nano-technology ,business ,Spectroscopy ,Critical dimension - Abstract
We show how a CD-TEM (Critical Dimension- Transmission Electron Microscope) can be used to acquire EDS (Energy Dispersive X-ray Spectroscopy) tomograms, which allow chemical mapping in 3D for most elements in the sample. This is achieved by developing a recipe using ordinary commands also used in CD-TEM programming.
- Published
- 2019
- Full Text
- View/download PDF
9. Challenges in Failure Analysis of 3D Bonded Wafers
- Author
-
Frieder H. Baumann, Jay Mody, Anita Madan, Steven E. Molis, Scott Pozder, Michael Hatzistergos, Pradip Sairam Pichumani, and Tanya A. Atanasova
- Subjects
Materials science ,business.industry ,Optoelectronics ,Wafer ,business - Abstract
This paper discusses the Failure Analysis methodology used to characterize 3D bonded wafers during the different stages of optimization of the bonding process. A combination of different state-of-the-art techniques were employed to characterize the 3D patterned and unpatterned bonded wafers. These include Confocal Scanning Acoustic Microscopy (CSAM) to determine the existence of voids, Atomic Force Microscopy (AFM) to determine the roughness of the films on the wafers, and the Double Cantilever Beam Test to determine the interfacial strength. Focused Ion Beam (FIB) was used to determine the alignment offset in the patterns. The interface was characterized by Auger Spectroscopy and the precession electron nanobeam diffraction analysis to understand the Cu grain boundary formation.
- Published
- 2018
- Full Text
- View/download PDF
10. Investigating Root Cause of a Bubble Formation in Spin on Hardmask
- Author
-
Joshua Moore, Kok Hin Teo, Tim Shepherd, Frieder H. Baumann, Rebecca Dar, Jason Lewis Behnke, Laurent Dumas, and Wayne Zhao
- Subjects
Materials science ,Condensed matter physics ,Liquid bubble ,Root cause ,Spin-½ - Abstract
Through inline processing of a prospective Spin on Hardmask (SOH) material, bubble defects were observed randomly across a wafer. Several complementary FA techniques were utilized to characterize the bubble defects including SEM, TEM, and chemical analysis techniques. The root cause of defect formation was identified as a raw material imperfection in SOH, which led to excessive outgassing. Imperfections within the substrate formed nucleation sites for outgassing of SOH material forming bubbles, which allowed voids to propagate. These findings led to implementation of greater quality control methods by the raw material manufacturer.
- Published
- 2018
- Full Text
- View/download PDF
11. Modulation of Within-Wafer and Within-die Topography for Damascene Copper in Advanced Technology
- Author
-
Shafaat Ahmed, Frieder H. Baumann, Wei-Tsu Tseng, Jusang Lee, and Tien-Jen Cheng
- Subjects
010302 applied physics ,Materials science ,Annealing (metallurgy) ,business.industry ,Copper interconnect ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Copper ,Overburden ,chemistry ,0103 physical sciences ,Trench ,Copper plating ,Optoelectronics ,Wafer ,0210 nano-technology ,business ,Nanoscopic scale - Abstract
A novel copper electroplating and CMP process was developed to effectively modulate the within-wafer and within-die nanoscale topography. The feasibility of this new metallization is demonstrated on a 64nm pitch product with an equivalent defect level, lower and tighter distribution in resistance and trench height. It's believed to be extendable to other advanced nodes for a sizable reduction in copper overburden which saves CMP polish cycle time from the plan-of-record time.
- Published
- 2018
- Full Text
- View/download PDF
12. Future on-chip interconnect metallization and electromigration
- Author
-
Motoyama Koichi, X. Lin, Terry A. Spooner, Praneet Adusumilli, Hosadurga Shobha, Chao-Kun Hu, Lynne Gignac, B. Peethala, Frieder H. Baumann, X. Zhang, James J. Kelly, Frank W. Mont, M. Ali, Vimal Kamineni, Shariq Siddiqui, J. H-C Chen, Huai Huang, Roger A. Quon, Y. Ostrovski, Raghuveer R. Patlolla, C. M. Breslin, G. Lian, J. Benedict, and S. Smith
- Subjects
010302 applied physics ,Interconnection ,Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Electrical resistivity and conductivity ,0103 physical sciences ,Optoelectronics ,Wafer ,Node (circuits) ,0210 nano-technology ,business - Abstract
Electromigration and resistivity of Cu, Co and Ru on-chip interconnections have been investigated. Non-linered Co and Ru interconnects can have better interconnect resistance than Cu, if the Cu liner cannot be scaled down below 2 nm in future interconnect technologies. A similar resistivity size effect increase was observed in Cu, Co, and Ru. Multi-level Cu, Co or Ru back-end-of-line interconnects were fabricated using 7 and 10 nm node technology wafer processing steps. EM in 18 nm to 88 nm wide Co lines, 18–24 nm wide Cu with a thin Co cap and 18 to 24 nm wide Ru lines were tested. The electromigration activation energies for Cu with Co cap, Co and Ru were found to be 1.5–1.7 eV, 2.4–3.1 eV and 1.9 eV, respectively. These data showed that Cu with Co cap, Co and Ru interconnects all had highly reliable electromigration.
- Published
- 2018
- Full Text
- View/download PDF
13. Towards Routine EDX Tomography in Semiconductor Failure Analysis
- Author
-
Yinggang Lu, Brian Popielarski, Frieder H. Baumann, and Travis Mitchell
- Subjects
Materials science ,Semiconductor ,business.industry ,Optoelectronics ,Tomography ,business ,Instrumentation - Published
- 2019
- Full Text
- View/download PDF
14. A Case-Study of Bubble Formation Mechanism by Analytical TEM during Evaluation of an Incoming Spin-On-Hardmask at Wafer-Foundries
- Author
-
Wayne Zhao and Frieder H. Baumann
- Subjects
Materials science ,Condensed matter physics ,Wafer ,Liquid bubble ,Instrumentation ,Mechanism (sociology) ,Spin-½ - Published
- 2019
- Full Text
- View/download PDF
15. Challenges of nickel silicidation in CMOS technologies
- Author
-
Jean Jordan-Sweet, Karen A. Nummy, Bing Sun, Christian Lavoie, Frieder H. Baumann, N. Klymko, Jian Yu, Nicolas Breil, Michael P. Chudzik, Shreesh Narasimha, Frank Zhu, and Ahmet S. Ozcan
- Subjects
Materials science ,business.industry ,Material analysis ,chemistry.chemical_element ,New materials ,Nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Nickel ,chemistry ,CMOS ,Silicide ,Microelectronics ,Electrical and Electronic Engineering ,Reactive-ion etching ,business - Abstract
Display Omitted The evolution of CMOS technologies and of the silicidations techniques are described.A peculiar nickel monosilicide defect termed NiSi-Fang is detected and characterized.The NiSiGe formation is investigated on Si, SiGe materials and (100), (111) surfaces.A mechanism for the NiSi-Fang defect formation is proposed.This mechanism involves silicide formation, metal enrichment and metal diffusion. In this paper, we review some of the key challenges associated with the Ni silicidation process in the most recent CMOS technologies. The introduction of new materials (e.g. SiGe), and of non-planar architectures bring some important changes that require fundamental investigation from a material engineering perspective. Following a discussion of the device architecture and silicide evolution through the last CMOS generations, we focus our study on a very peculiar defect, termed NiSi-Fangs. We describe a mechanism for the defect formation, and present a detailed material analysis that supports this mechanism. We highlight some of the possible metal enrichment processes of the nickel monosilicide such as oxidation or various RIE (Reactive Ion Etching) plasma process, leading to a metal source available for defect formation. We also investigate the NiSi formation and re-formation silicidation differences between Si and SiGe materials, and between (100) and (111) orientations. Finally, we show that the thermal budgets post silicidation can lead to the formation of NiSi-Fangs if the structure and the processes are not optimized. Beyond the understanding of the defect and the discussion on the engineering solutions used to prevent its formation, the interest of this investigation also lies in the fundamental learning within the Ni-Pt-Si-Ge system and some additional perspective on Ni-based contacts to advanced microelectronic devices.
- Published
- 2015
- Full Text
- View/download PDF
16. Exploring the limits of transistor scaling with electron microscopy
- Author
-
Paul M. Voyles, Glen D. Wilk, David A. Muller, and Frieder H. Baumann
- Subjects
Materials science ,business.industry ,law ,Optoelectronics ,Transistor scaling ,Electron microscope ,business ,law.invention - Published
- 2018
- Full Text
- View/download PDF
17. SRAM PFET and NFET Super FIN Characterization
- Author
-
Ahmad Katnani, Stephen Lucarini, Yong Wei, Karl Barth, Zhigang Song, and Frieder H. Baumann
- Subjects
business.industry ,Computer science ,Optoelectronics ,Static random-access memory ,business ,Fin (extended surface) - Abstract
The State-of-the-Art FinFET technology has been widely adopted in the industry, typically at 14 nm and below technology nodes. As fin dimensions are pushed into the nanometer scale, process complexity is highly escalated, posing great challenges for physical failure analysis. Meanwhile, the accelerated cycles of learning for new technology nodes demand high accuracy and fast turnaround time to solve the material and interface issues pertaining to semiconductor processing or device failure. In this paper, we report a case study of fin related defect that caused device failure. Several analytical techniques, namely, Scanning Electron Microscopy (SEM), plan-view and cross-section Transmission Electron Microscopy (TEM) with Energy Dispersive X-ray spectroscopy (EDX), Electron Energy Loss Spectroscopy (EELS) and Z-contrast tomography were employed to characterize the defect and identify root-cause, leading to the resolution of this issue.
- Published
- 2017
- Full Text
- View/download PDF
18. Spring-lattice model for fast, flexible and easy strain prediction in semiconductor devices ET/ID: Enabling technologies and innovative devices
- Author
-
Frieder H. Baumann and Maxime J-F Guinel
- Subjects
Engineering ,Strain (chemistry) ,business.industry ,Mechanical engineering ,02 engineering and technology ,Semiconductor device ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Spring (device) ,Electronic engineering ,0210 nano-technology ,business ,Lattice model (physics) - Published
- 2017
- Full Text
- View/download PDF
19. Resistance contributions to copper interconnects
- Author
-
Elbert E. Huang, C. Witt, Frieder H. Baumann, and David L. Rath
- Subjects
010302 applied physics ,Materials science ,Condensed matter physics ,Magnetoresistance ,business.industry ,Scattering ,01 natural sciences ,Isotropic etching ,Grain size ,Cross section (physics) ,Optics ,0103 physical sciences ,Surface roughness ,Grain boundary ,business ,Sheet resistance - Abstract
Experimental decomposition of contributions of electron scattering events in deeply scaled interconnects has been complicated by the fact that grain size and line dimensions are generally not varied independently. In this paper, we describe a combination of experiments to examine scattering mechanism independently. The cross section of was changed by recessing individual copper interconnects from the top using wet chemical etching, which was combined with repeated cryogenic resistance measurements. A fit for the grain boundary reflectivity was then obtained. In addition, it was found that the average grain size increase from the bottom to the top of the interconnect. This was confirmed by TEM based grain size measurements. Further, in order to assess surface scattering, magnetoresistance was employed for the first time for interconnects. It is observed to be sensitive to the geometry of the line. The method also allows for independent assessment of sidewall vs. bottom/top surface scattering. Results indicate that sidewall scattering is more severe than top/bottom surface scattering.
- Published
- 2017
- Full Text
- View/download PDF
20. Dependence of Cu electromigration resistance on selectively deposited CVD Co cap thickness
- Author
-
Joseph F. Aubuchon, Ping-Chuan Wang, C.-C. Yang, Paul F. Ma, Frieder H. Baumann, S. Y. Lee, and Daniel C. Edelstein
- Subjects
Materials science ,Deposition pressure ,Analytical chemistry ,chemistry.chemical_element ,Co deposition ,Dielectric ,Chemical vapor deposition ,Condensed Matter Physics ,Selective deposition ,Electromigration ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Electrical and Electronic Engineering ,Selectivity ,Cobalt - Abstract
Co films with various thicknesses were selectively deposited as Cu capping layers by chemical vapor deposition technique. Selectivity of the Co deposition between Cu and dielectric surfaces was improved by both raising the deposition pressure and adopting a pre-clean process prior to the Co deposition. Degree of electromigration resistance enhancement was observed to be dependent on the deposited Co thickness. Compared to the no-Co control, significant EM lifetime enhancement was observed when the Co cap is thicker than 6nm.
- Published
- 2013
- Full Text
- View/download PDF
21. Investigation on critical thickness dependence of ALD TiN diffusion barrier in MOL
- Author
-
Archana Subramaniyan, Neal A. Makela, Richard Murphy, Christopher C. Parks, Anita Madan, Domingo A. Ferrer Luppi, Frieder H. Baumann, Lawrence Bauer, and Kriti K. Kohli
- Subjects
010302 applied physics ,0209 industrial biotechnology ,Materials science ,Diffusion barrier ,Metallurgy ,chemistry.chemical_element ,02 engineering and technology ,Tungsten ,Microstructure ,01 natural sciences ,Titanium nitride ,law.invention ,chemistry.chemical_compound ,020901 industrial engineering & automation ,chemistry ,Electron diffraction ,law ,0103 physical sciences ,Crystallization ,Composite material ,Tin ,Titanium - Abstract
Titanium nitride (TiN), a refractory material is actively been used as a diffusion barrier in Middle-of-the-Line (MOL) contacts. In the typical MOL stack (titanium (Ti)/TiN/tungsten(W)), it acts as a fluorine (F) diffusion barrier and also as an adhesion layer to W. During W deposition, F from W precursor chemistry can react with Ti to form a highly resistive titanium fluoride (TiFx) compound. The formation of TiFx creates >200% volume expansion which can result in cracks on the TiN layer or W delamination. In order to be an effective diffusion barrier layer, TiN has to be dense which demands a critical thickness. In this work, we study the materials properties of ALD TiN as a function of thickness in the range 11–35 Â. We found a linear increase in resistivity of TiN on Ti with thickness. Columnar structured microstructure was observed for TiN films with thickness 30.4 and 34.7 Â. These films were also found to be slightly denser. TEM electron diffraction indicated that the 34.7 Â film is preferentially oriented along [111]. The roughness of the film increases with thickness. Three roughness zones with different crystallization modes have been identified which indicates thickness dependent crystallization. From our study, it is clear that in addition to density, the formation of preferential orientation of TiN might play a role for critical thickness requirement.
- Published
- 2016
- Full Text
- View/download PDF
22. Synergistic combinations of dielectrics and metallization process technology to achieve 22nm interconnect performance targets
- Author
-
G. A. Antonelli, O. Vander Straten, K. J. Park, Hosadurga Shobha, G. Jiang, Masayoshi Tagami, Frieder H. Baumann, W. Wu, I. Karim, T. Mountsier, Terry A. Spooner, Eric G. Liniger, Girish Dixit, Stephan A. Cohen, James J. Demarest, E. Soda, and Roey Shaviv
- Subjects
Interconnection ,Materials science ,business.industry ,Time constant ,Nanotechnology ,Dielectric ,Condensed Matter Physics ,Capacitance ,Aspect ratio (image) ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Process integration ,Optoelectronics ,Electrical and Electronic Engineering ,Material properties ,business ,Scaling - Abstract
An improvement in interconnect performance implies a reduction of the resistance-capacitance (RC) time constant. Instead of scaling the capacitance at each technology node through a reduction in the dielectric constant of the interlayer dielectric (ILD), the interconnect aspect ratios could be scaled holding the ILD fixed. In this case, the material properties of the ILD must be robust to process-induced damage and amenable to the creation of high aspect ratio features. In addition, a metallization scheme that can provide void free Cu fill in high aspect ratio features is required. Characterization, patterning, and integration results collected on such an ultra-low-k (ULK) ILD material and void free metallization is presented. A measured reduction in the resistance of a 22nm node interconnect in this ILD was observed as a function of increasing aspect ratio. The copper seed deposition process, capable of enabling the fill of even higher aspect ratio features, is also discussed.
- Published
- 2012
- Full Text
- View/download PDF
23. How to Avoid Artifacts in Nanobeam Diffraction Strain Measurements
- Author
-
Frieder H. Baumann, Bianzhu Fu, Yun-yu Wang, and Michael A. Gribelyuk
- Subjects
010302 applied physics ,Diffraction ,Crystallography ,Materials science ,Strain (chemistry) ,0103 physical sciences ,02 engineering and technology ,021001 nanoscience & nanotechnology ,0210 nano-technology ,01 natural sciences ,Instrumentation - Published
- 2017
- Full Text
- View/download PDF
24. Advances in Elemental Electron Tomography for the State-of-the-art Semiconductor Devices and Circuits Characterization and Failure Analysis
- Author
-
E. Chen, Michael A. Gribelyuk, Frieder H. Baumann, Bianzhu Fu, Wayne Zhao, C. Fang, and I. Brooks
- Subjects
010302 applied physics ,Materials science ,Nanotechnology ,02 engineering and technology ,Semiconductor device ,021001 nanoscience & nanotechnology ,01 natural sciences ,Characterization (materials science) ,Electron tomography ,0103 physical sciences ,State (computer science) ,0210 nano-technology ,Instrumentation ,Electronic circuit - Published
- 2017
- Full Text
- View/download PDF
25. Moisture Uptake Impact on Damage Layer of Porous Low-k Film in 80nm-Pitched Cu Interconnects
- Author
-
Frieder H. Baumann, Masayoshi Tagami, Atsushi Ogino, Hideshi Miyajima, Hosadurga Shobha, Terry A. Spooner, and Fuminori Ito
- Subjects
Interconnection ,Materials science ,Moisture ,chemistry ,chemistry.chemical_element ,Dielectric ,Composite material ,Porosity ,Capacitance ,Layer (electronics) ,Carbon ,Line (electrical engineering) - Abstract
Introduction A porous low-k film has been introduced to reduce the interconnect capacitance as the LSI generation progress [1-2]. Since the porous low-k film has larger porosity and higher carbon content than conventional rigid films, its surface is easily damaged by processes during integration. The damage layer has lower carbon concentration and hydrophilic properties, so the moisture uptakes in it [3]. The moisture uptake into porous low-k film causes the line capacitance increase [4]. In this work, we investigated the moisture uptake impact on line capacitance and leakage current in the triple layer interconnect structure. We also estimated dielectric constant of damage layer with including moisture by the capacitance simulation. The moisture control in the damage layer in porous low-k film is very important to reduce the line capacitance and improve the line leakage current as well as damage layer reduction.
- Published
- 2011
- Full Text
- View/download PDF
26. Microstructure Modulation in Copper Interconnects
- Author
-
Daniel C. Edelstein, Robert Rosenberg, Frieder H. Baumann, James Chingwei Li, Baozhen Li, and C.-C. Yang
- Subjects
Materials science ,Passivation ,Annealing (metallurgy) ,Metallurgy ,chemistry.chemical_element ,Microstructure ,Copper ,Electromigration ,Grain size ,Electronic, Optical and Magnetic Materials ,Grain growth ,chemistry ,Stress migration ,Electrical and Electronic Engineering - Abstract
Modulation of Cu interconnect microstructure in a low-k dielectric was achieved at an elevated anneal temperature of 250 °C. In contrast to the unpassivated conventional structure, a TaN metal passivation layer was deposited on the plated Cu overburden surface before annealing at the elevated temperature to prevent stress migration reliability degradation. As compared with the conventional structure annealed at 100 °C, the elevated annealing process enabled further Cu grain growth, which then resulted in an increased Cu grain size and improved electromigration resistance in the interconnects.
- Published
- 2014
- Full Text
- View/download PDF
27. Structural characterization of tantalum nitride films as wet etch stop layer in advanced multiwork function metal gate MOSFETs
- Author
-
Mark Klare, Zeynel Bayindir, Dong Hun Kang, Parvaneh Hamed, Ashawaraya Shalini, Anita Madan, Petra Mennell, Frieder H. Baumann, and Abner Bello
- Subjects
Materials science ,business.industry ,Process Chemistry and Technology ,chemistry.chemical_element ,Semiconductor device ,Titanium nitride ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Tantalum nitride ,Gate oxide ,Materials Chemistry ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,Tin ,business ,Metal gate ,Instrumentation ,Layer (electronics) - Abstract
As the dimensions of semiconductor devices become smaller, the use of high-k materials as gate oxides has become necessary for controlling gate leakage current. In addition, to achieve higher performance, conventional polysilicon metal gates have been replaced with multistack metal electrodes with engineered work functions. Thus, a suite of devices with different levels of performance and power usage is available. The integration scheme to create such structures involves an additional deposition step and selective wet etching of titanium nitride (TiN) in a complex patterning scheme following the high-k film deposition. Tantalum nitride (TaN) is one of the candidates used as an etch stop layer in a bilayer scheme of TaN/TiN. This prevents any exposure of high-k hafnium oxide (HfO2) gate oxide to wet etch chemistry in the patterning scheme, which could cause damage and/or skimming, resulting in undesirable effects on device characteristics and reliability. In order to accommodate for shrinking trench widths, these bilayer stacks are kept as thin as possible, which makes monitoring the thickness during various patterning steps very challenging. In this study, the authors present an approach to an offline and inline metrology setup. In order to gain insight into basic layer growth and interdiffusion characteristics, they used x-ray photoelectron spectroscopy and high-resolution transmission electron microscopy. They utilized specular x-ray reflectivity as a first principles method, followed by wavelength dispersive x-ray fluorescence to gain insight into cross-wafer variation on blanket wafers. The results were then fed into models developed for the x-ray photoelectron spectroscopy technique, which can be used as an inline method to characterize the composition and thickness of the patterned wafers for both as-deposited and postetching.As the dimensions of semiconductor devices become smaller, the use of high-k materials as gate oxides has become necessary for controlling gate leakage current. In addition, to achieve higher performance, conventional polysilicon metal gates have been replaced with multistack metal electrodes with engineered work functions. Thus, a suite of devices with different levels of performance and power usage is available. The integration scheme to create such structures involves an additional deposition step and selective wet etching of titanium nitride (TiN) in a complex patterning scheme following the high-k film deposition. Tantalum nitride (TaN) is one of the candidates used as an etch stop layer in a bilayer scheme of TaN/TiN. This prevents any exposure of high-k hafnium oxide (HfO2) gate oxide to wet etch chemistry in the patterning scheme, which could cause damage and/or skimming, resulting in undesirable effects on device characteristics and reliability. In order to accommodate for shrinking trench widths...
- Published
- 2018
- Full Text
- View/download PDF
28. Enhanced electromigration resistance through grain size modulation in copper interconnects
- Author
-
Daniel C. Edelstein, Frieder H. Baumann, Baozhen Li, Robert Rosenberg, C.-C. Yang, and Elbert E. Huang
- Subjects
Grain growth ,Void (astronomy) ,Materials science ,chemistry ,Passivation ,Annealing (metallurgy) ,Stress migration ,Metallurgy ,chemistry.chemical_element ,Electromigration ,Copper ,Grain size - Abstract
Grain size modulation in Cu interconnects was achieved at an elevated anneal temperature of 250 °C. As compared to the conventional annealing at 100 °C, the elevated process enabled further Cu grain growth, which then resulted in an increased grain size and improved electromigration resistance in the Cu interconnects. In order to prevent stress migration reliability degradation from the elevated annealing process, a TaN metal passivation layer was deposited on the Cu interconnect surface prior to the thermal annealing process, which suppressed void formation within the Cu features during the anneal process and reduced inelastic deformation within the interconnects after cooling down to room temperature
- Published
- 2015
- Full Text
- View/download PDF
29. Characterization of VLSI Processing Defects Using STEM-EELS Tomography
- Author
-
Anne Friedman, John M. Miller, Bryan Rhoads, Bianzhu Fu, and Frieder H. Baumann
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Stem eels ,0103 physical sciences ,02 engineering and technology ,Tomography ,021001 nanoscience & nanotechnology ,0210 nano-technology ,01 natural sciences ,Instrumentation ,Biomedical engineering ,Characterization (materials science) - Published
- 2016
- Full Text
- View/download PDF
30. Microstructure of thin tantalum films sputtered onto inclined substrates: Experiments and atomistic simulations
- Author
-
T. Diaz de la Rubia, J. Dalla Torre, Frieder H. Baumann, Peter L. O'Sullivan, G. H. Gilmer, M. Djafari Rouhani, David L. Windt, J. Sapjeta, and Ramki Kalyanaraman
- Subjects
Materials science ,Monte Carlo method ,X-ray standing waves ,Tantalum ,General Physics and Astronomy ,chemistry.chemical_element ,Surface finish ,Sputter deposition ,Microstructure ,Crystallography ,chemistry ,Transmission electron microscopy ,Deposition (phase transition) ,Composite material - Abstract
We have combined experiments and atomistic modeling in order to better understand the growth and structure of metal films deposited onto sidewalls of trenches and vias. Using x-ray reflectance, atomic force microscopy, and high-resolution transmission electron microscopy to characterize the microstructure and morphology of Ta films grown by magnetron sputtering onto inclined substrates, we find that films deposited at larger incidence angles tend towards columnar microstructure with high roughness and low density. We have used a three-dimensional Monte Carlo model (ADEPT) to simulate the growth process, under conditions close to those investigated experimentally. A binary collision model is included in the Monte Carlo deposition procedure to describe the interaction of energetic particles with the surface. Examination of the film microstructure and morphology resulting from the simulations indicates that the energetic impinging particles are necessary to produce film densities comparable to those found ex...
- Published
- 2003
- Full Text
- View/download PDF
31. Continuum model of thin film deposition incorporating finite atomic length scales
- Author
-
Peter L. O'Sullivan, Jacques Dalla Torre, Taeyoon Lee, Ivan Petrov, G. H. Gilmer, Frieder H. Baumann, and C.-S. Shin
- Subjects
Materials science ,Continuum (measurement) ,Monte Carlo method ,Tantalum ,General Physics and Astronomy ,chemistry.chemical_element ,Capture effect ,Sputter deposition ,Curvature ,Molecular physics ,chemistry ,Discrete particle ,Statistical physics ,Thin film - Abstract
We show that surface evolution resulting from the deposition of discrete particles is intrinsically different from that produced by continuum processes. The atomistic effects have major consequences, even when observed at macroscopic length scales. We have elucidated some of the atomistic effects by comparing: (i) numerical simulations of thin film deposition using the continuum model, (ii) atomistic (Monte Carlo) models, and (iii) experiments on the sputter deposition of Ta onto a substrate containing etched vias. We have therefore developed a continuum model which incorporates finite atomic length scales. The model incorporates effects of atomic interactions, which lead to the capture of impinging atoms that pass near a point on the film. This capture effect results in “breadloafing” at sharp convex corners where the curvature is high. We have validated our model in idealized two-dimensional simulations and obtained improved qualitative agreement with both experiment and Monte Carlo atomistic simulation...
- Published
- 2002
- Full Text
- View/download PDF
32. Ultra-thin gate oxide reliability projections
- Author
-
Don Monroe, Gregory Timp, Frieder H. Baumann, Yi Ma, P. J. Silverman, D. Hwang, Muhammad A. Alam, B. E. Weir, J. Bude, A. Hamad, M.M. Brown, A. Ghetti, G.D. Wilk, and T.W. Sorsch
- Subjects
Thin gate oxide ,Materials science ,business.industry ,Time-dependent gate oxide breakdown ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Soft breakdown ,Reliability (semiconductor) ,Projection (mathematics) ,Gate oxide ,Materials Chemistry ,Electronic engineering ,Optoelectronics ,Point (geometry) ,Electrical and Electronic Engineering ,business - Abstract
We describe the reliability projection methods currently used and show that 1.6 nm oxides are sufficiently reliable even if soft breakdown is considered the point of failure. We also explore the possibility of using oxides after soft breakdown.
- Published
- 2002
- Full Text
- View/download PDF
33. Characterization of Copper Electromigration Dependence on Selective Chemical Vapor Deposited Cobalt Capping Layer Thickness
- Author
-
Daniel C. Edelstein, Ping-Chuan Wang, P Ma, S Lee, C.-C. Yang, J AuBuchon, and Frieder H. Baumann
- Subjects
Materials science ,Analytical chemistry ,chemistry.chemical_element ,Chemical vapor deposition ,Dielectric ,Electromigration ,Copper ,Layer thickness ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,chemistry ,Electrical and Electronic Engineering ,Selectivity ,Cobalt - Abstract
Co films with various thicknesses were selectively deposited as Cu capping layers by chemical-vapor-deposition technique. Selectivity of the Co deposition between Cu and dielectric surfaces was improved by raising the deposition pressure. Degree of electromigration (EM) resistance enhancement was observed to be dependent on the deposited Co thickness. Compared to the no-Co control, significant EM lifetime enhancement was observed when the Co cap is thicker than 6 nm.
- Published
- 2011
- Full Text
- View/download PDF
34. Thermal stress control in Cu interconnects
- Author
-
Ping-Chuan Wang, Baozhen Li, James Chingwei Li, C.-C. Yang, Daniel C. Edelstein, Frieder H. Baumann, and Robert Rosenberg
- Subjects
Stress (mechanics) ,Metal ,Grain growth ,Materials science ,Passivation ,Annealing (metallurgy) ,visual_art ,Metallurgy ,visual_art.visual_art_medium ,Low-k dielectric ,Electromigration ,Grain size - Abstract
Grain growth of Cu interconnects in a low k dielectric was achieved at an elevated anneal temperature of 250 °C without stress voiding related problems. For this, a TaN metal passivation layer was deposited on the plated Cu overburden surface prior to the thermal annealing process. As compared to the conventional structure annealed at 100 °C, the passivation layer enabled further Cu grain growth at the elevated temperature, which then resulted in an increased Cu grain size and improved electromigration resistance in the resulted Cu interconnects.
- Published
- 2014
- Full Text
- View/download PDF
35. Multiscale Modeling of Thin-Film Deposition: Applications to Si Device Processing
- Author
-
Joseph E Greene, Suneel Kodambaka, Frieder H. Baumann, Hanchen Huang, Ivan Petrov, David L. Chopp, Peter L. O'Sullivan, T. Diaz de la Rubia, and George H. Gilmer
- Subjects
Materials science ,Memory chip ,Fabrication ,business.industry ,Transistor ,Process (computing) ,Blanket ,Condensed Matter Physics ,Multiscale modeling ,law.invention ,law ,Energy materials ,Optoelectronics ,General Materials Science ,Physical and Theoretical Chemistry ,Thin film ,business - Abstract
Metallization is the back end of the integrated-circuit (IC) fabrication process where the transistor interconnections are formed. Figure 1 shows the metallized part of a static random-access memory chip. Metal lines for electrical connections (Al and Cu) in Si devices are deposited as blanket films and then etched or polished away to define the conducting lines.
- Published
- 2001
- Full Text
- View/download PDF
36. A 2 million transistor digital processor with 120 nm gates fabricated by 248 nm wavelength phase shift technology
- Author
-
M. Miller, R. Cirelli, D. Barr, R. Kohler, Y. T. Wang, J. Frackoviak, I. C. Kizilyalli, Omkaram Nalamasu, William M. Mansfield, G. P. Watson, J. Radosevich, Allen G. Timko, K. Bolan, R. Freyman, B. Pati, Frieder H. Baumann, H. Vaidya, and F. Klemens
- Subjects
Materials science ,business.industry ,Transistor ,Hardware_PERFORMANCEANDRELIABILITY ,Condensed Matter Physics ,Chip ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Wavelength ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Phase-shift mask ,Wafer ,Electrical and Electronic Engineering ,business ,Lithography ,Digital signal processing ,Electronic circuit - Abstract
Alternating phase shift technology has been shown to substantially improve focus latitude and resolution for several years. However, the use of phase shift masks to improve the process latitude in gate level lithography has been hindered by the lack of commercially available tools that can convert conventional gate layouts into phase shift mask patterns. A software package has recently become available that allows a user to create phase shift masks to reduce the gate length of features in existing circuit layouts. A digital signal processing chip with 2 million gates has been used as a test vehicle to evaluate the feasibility of phase shifting and processing a large number of devices in a complete circuit. Three wafer lots have been processed with a target feature size of 120 nm with a variation of 25 nm 3σ. The timing circuits of the chips have been tested; those with 120 nm gates showed a nearly fourfold improvement in speed when compared to 240 nm gate circuits at 1 volt operation.
- Published
- 2000
- Full Text
- View/download PDF
37. Gate oxide reliability projection to the sub-2 nm regime
- Author
-
P Mason, T. Sorsch, Frieder H. Baumann, Don Monroe, A. Hamad, Yi Ma, J. Bude, A Ghetti, P. J. Silverman, D. Hwang, Muhammad A. Alam, B. E. Weir, Gregory Timp, M.M. Brown, and Philip W. Diodato
- Subjects
Materials science ,Condensed matter physics ,Oxide ,Analytical chemistry ,Failure rate ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Reliability (semiconductor) ,chemistry ,Gate oxide ,Percolation ,Materials Chemistry ,Wafer ,Electrical and Electronic Engineering ,Projection (set theory) ,Voltage - Abstract
The important components of reliability projection are investigated. Acceleration parameters are obtained for a 1.6 nm oxide with a soft breakdown criterion. Based on the physical percolation model, the voltage scaling factor for time to breakdown is found to increase with lower voltage, explaining the experimental observation of 6.7 ± 0.4 dec V-1 for the 1.6 nm oxide. The distribution of breakdown times is shown to be sensitive to thickness variation across the test wafer, and a Weibull slope of 1.38 ± 0.1 was obtained. The temperature dependence of the time to breakdown was found to be non-Arrhenius and to have a slope of 0.02 dec °C-1. Using these parameters, the 1.6 nm oxide was found to have a 10 year lifetime with a 100 ppm failure rate for 1.3 V operation at 100 °C. Our understanding of soft breakdown is described as well as an investigation of device operation after soft breakdown, which may further improve the reliability projection.
- Published
- 2000
- Full Text
- View/download PDF
38. Lattice Monte Carlo models of thin film deposition
- Author
-
Tomas Diaz de la Rubia, Hanchen Huang, Frieder H. Baumann, Jacques dalla Torre, and George H. Gilmer
- Subjects
Length scale ,Chemistry ,Monte Carlo method ,Metals and Alloys ,Surfaces and Interfaces ,Sputter deposition ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Sputtering ,Vacancy defect ,Materials Chemistry ,Deposition (phase transition) ,Statistical physics ,Thin film ,Scaling - Abstract
Monte Carlo models of crystal growth have contributed to the theoretical understanding of thin film deposition, and are now becoming available as tools to assist in device fabrication. Because they combine efficient computation and atomic-level detail, these models can be applied to a large number of crystallization phenomena. They have played a central role in the understanding of the surface roughening transition and its effect on crystal growth kinetics. In addition, columnar growth, vacancy and impurity trapping, and other growth phenomena that are closely related to atomic-level structure have been investigated by these simulations. In this chapter we review some of these applications and discuss MC modeling of sputter deposition based on materials parameters derived from first principles and molecular dynamics methods. We discuss models of deposition which include the atomic scale, but can also simulate film structure evolution on time scales of the order of hours. By the use of advanced computers and algorithms, we can now simulate systems large enough to exhibit clustered, columnar, and polycrystalline film structures. The event distribution is determined from molecular dynamics simulations, which can give diffusion rates, defect production, sputtering yields, and other information needed to match real materials. We discuss simulations of deposition into small vias and trenches, and their extension to the length scale of real devices through scaling relations.
- Published
- 2000
- Full Text
- View/download PDF
39. Extending Optical Lithography Limits: Demonstration by Device Fabrication and Circuit Performance
- Author
-
M. Miller, B. Pati, Isik C. Kizilyalli, Frieder H. Baumann, G. P. Watson, J. Frackoviak, H. Vaidya, R. Kohler, Omkaram Nalamasu, J. Bude, J. Radosevich, Y. T. Wang, R. Cirelli, D. Barr, F. Klemens, K. Bolan, R. Freyman, Allen G. Timko, and William M. Mansfield
- Subjects
Materials science ,Polymers and Plastics ,business.industry ,Computational lithography ,Extreme ultraviolet lithography ,Organic Chemistry ,Transistor ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,law.invention ,Optics ,law ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Optoelectronics ,X-ray lithography ,Photolithography ,business ,Lithography ,Next-generation lithography ,Hardware_LOGICDESIGN - Abstract
To demonstrate the effectiveness of alternating aperture phase shift lithography and 193nm wavelength lithography for integrated circuit technology, two demonstration devices were designed and processed. In one case, a 2 million transistor integrated circuit was processed with phase shifting and 248nm wavelength lithography. Gate lengths were reduced from 240 to 120nm, resulting in a 100MHz circuit speed at 1.0 volt operation, a threefold improvement. In the second case an 80nm floating gate memory device was fabricated with phase shifting, 193nm wavelength lithography, and anti-reflection control. The lithographic results were confirmed by careful measurements throughout the process sequences and finally by the performance of the devices themselves.
- Published
- 2000
- Full Text
- View/download PDF
40. The electronic structure at the atomic scale of ultrathin gate oxides
- Author
-
David A. Muller, Frieder H. Baumann, T. Sorsch, K. Evans-Lutterodt, S. Moccio, and Gregory Timp
- Subjects
Multidisciplinary ,Silicon ,business.industry ,Gate dielectric ,Oxide ,chemistry.chemical_element ,Nanotechnology ,Strained silicon ,Equivalent oxide thickness ,Dielectric ,chemistry.chemical_compound ,chemistry ,Gate oxide ,Optoelectronics ,business ,High-κ dielectric - Abstract
The narrowest feature on present-day integrated circuits is the gate oxide—the thin dielectric layer that forms the basis of field-effect device structures. Silicon dioxide is the dielectric of choice and, if present miniaturization trends continue, the projected oxide thickness by 2012 will be less than one nanometre, or about five silicon atoms across1. At least two of those five atoms will be at the silicon–oxide interfaces, and so will have very different electrical and optical properties from the desired bulk oxide, while constituting a significant fraction of the dielectric layer. Here we use electron-energy-loss spectroscopy in a scanning transmission electron microscope to measure the chemical composition and electronic structure, at the atomic scale, across gate oxides as thin as one nanometre. We are able to resolve the interfacial states that result from the spillover of the silicon conduction-band wavefunctions into the oxide. The spatial extent of these states places a fundamental limit of 0.7 nm (four silicon atoms across) on the thinnest usable silicon dioxide gate dielectric. And for present-day oxide growth techniques, interface roughness will raise this limit to 1.2 nm.
- Published
- 1999
- Full Text
- View/download PDF
41. Effect of implant damage on the gate oxide thickness
- Author
-
J. Bude, J. Ning, P. J. Silverman, Conor S. Rafferty, K Evans-Lutterodt, Yi Ma, Steven James Hillenius, H. H. Vuong, J Mcmacken, H.-J. Gossmann, and Frieder H. Baumann
- Subjects
inorganic chemicals ,Materials science ,Silicon ,Doping ,technology, industry, and agriculture ,Oxide ,chemistry.chemical_element ,Condensed Matter Physics ,Capacitance ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,chemistry.chemical_compound ,chemistry ,Gate oxide ,law ,Materials Chemistry ,Surface roughness ,Electronic engineering ,Electrical and Electronic Engineering ,Composite material ,Boron - Abstract
Large area capacitors were fabricated with doping and oxide thickness representative of an n-MOSFET channel region. Capacitance–voltage (C–V) measurements on these capacitors showed a systematic change in the accumulation capacitance when additional implant damage is introduced by a 1×1014 cm−2 40 keV silicon implant. The oxide thickness values extracted from the C–V data increase by 1–4 A with the additional implant damage. This trend is confirmed by additional high resolution TEM and X-ray reflectivity measurements. We postulate that the implant damage increased the oxidation rate, due either to the interstitial flux during TED, or to an increase in surface roughness. For channels doped with boron implantation, the increase in thickness does not change with a 5× increase in the doping dose. In contrast, with BF2-implanted channels, the effects are smaller for higher doping dose.
- Published
- 1999
- Full Text
- View/download PDF
42. Two-Dimensional Mapping of the Electrostatic Potential in Transistors by Electron Holography
- Author
-
W. Hoppner, W.-D. Rau, Abbas Ourmazd, Peter Schwander, and Frieder H. Baumann
- Subjects
Surface (mathematics) ,Materials science ,business.industry ,Transistor ,Phase (waves) ,General Physics and Astronomy ,Electron holography ,law.invention ,Semiconductor ,law ,Optoelectronics ,Nanometre ,Sensitivity (control systems) ,Atomic physics ,business ,Image resolution - Abstract
We demonstrate the first successful mapping of the two-dimensional electrostatic potential in semiconductor transistor structures by electron holography. Our high resolution 2D phase maps allow the delineation of the source and drain areas in deep submicron transistors. By measuring the mean inner potential of Si and surface depletion effects in thin cross-section samples, we have directly determined the 2D electrostatic potential distribution with 10 nm spatial resolution and 0.1 V sensitivity. We discuss the sensitivity limits of the technique, and outline its possible applications in the study of solid state reactions in two dimensions within a few nanometers of the surface.
- Published
- 1999
- Full Text
- View/download PDF
43. Practical Considerations in Quantitative Nanoscale Energy-Dispersive X-ray Spectroscopy (EDX) and Its Application in SiGe
- Author
-
Weihao Weng, Rainer Loesing, Yue Ke, Anita Madan, Z. Zhu, Ahmad Katnani, and Frieder H. Baumann
- Subjects
Materials science ,Energy-dispersive X-ray spectroscopy ,Nanotechnology ,Instrumentation ,Nanoscopic scale - Published
- 2015
- Full Text
- View/download PDF
44. Second-harmonic generation at the interface between Si(100) and thin SiO2 layers
- Author
-
Wayne H. Knox, Steven T. Cundiff, K. W. Evans-Lutterodt, Martin L. Green, and Frieder H. Baumann
- Subjects
Thin layers ,Materials science ,Silicon ,Condensed matter physics ,Scattering ,business.industry ,Point reflection ,Physics::Optics ,chemistry.chemical_element ,Second-harmonic generation ,Surfaces and Interfaces ,Surface finish ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Condensed Matter::Materials Science ,Optics ,chemistry ,Surface second harmonic generation ,business ,Vicinal - Abstract
In materials that have bulk inversion symmetry, optical second harmonic generation (SHG) is sensitive to regions where the inversion symmetry is broken, i.e., a surface or interface. We measure SHG from the interface between Si(100) and thin layers of SiO2. Measurements on a series vicinal samples (0°–5° off axis) show that one- and threefold symmetries in the SHG signal increase with increasing off-axis angle. Comparison to x-ray scattering measurements of the interface roughness, for a set of on-axis samples, demonstrates the sensitivity of SHG to interface roughness.
- Published
- 1998
- Full Text
- View/download PDF
45. Real-space analysis of lattice images and its link to conventional theory
- Author
-
Frieder H. Baumann, Abbas Ourmazd, Jean-Luc Maurice, and Peter Schwander
- Subjects
Image formation ,Physics ,Scattering ,business.industry ,Small number ,Limiting ,Rotation formalisms in three dimensions ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Optics ,Application domain ,Lattice (order) ,Statistical physics ,business ,Instrumentation ,Bloch wave - Abstract
We show that real-space analysis of lattice images in terms of multidimensional vectors rests on a small number of physically significant dimensions, each representing the contribution of a characteristic pattern forming a basis vector. In many cases, these basis vectors can be linked to “spatial periodicities”, and expressed in terms of conventional formalisms of dynamical scattering. This provides a link between the more abstract (but convenient) real-space image analysis and the more familiar formalisms of image formation in terms of Bloch waves. Within this framework, the simplest implementations of QUANTITEM and Chemical Mapping may be viewed as limiting cases of a more general approach. This helps delineate the application domain for each. The paper is illustrated by reference to the Al x Ga 1 − x As system in the 〈1 0 0〉, 〈1 1 0〉 and 〈1 1 1〉 projections. The historically popular 〈1 1 0〉 projection is shown to be the most complex for quantitative data extraction.
- Published
- 1997
- Full Text
- View/download PDF
46. Electromigration comparison of selective CVD cobalt capping with PVD Ta(N) and CVD cobalt liners on 22nm-groundrule dual-damascene Cu interconnects
- Author
-
Hosadurga Shobha, S. Guggilla, J. Ren, Chenming Hu, C. Parks, Hoosung Kim, Frieder H. Baumann, C.-C. Yang, Son Nguyen, D. Sabens, Jain-Hsing Lee, Tibor Bolom, Takeshi Nogami, Joseph F. Aubuchon, Andrew H. Simon, C. Niu, and Joyeeta Nag
- Subjects
Materials science ,Alloy ,Metallurgy ,Copper interconnect ,chemistry.chemical_element ,Chemical vapor deposition ,Sputter deposition ,engineering.material ,Electromigration ,Metal ,chemistry ,visual_art ,visual_art.visual_art_medium ,engineering ,Composite material ,Science, technology and society ,Cobalt - Abstract
Alternate metallization schemes for copper interconnect using selective CVD Co capping at the 22nm technology node are investigated. Control splits fabricated with PVD Ta(N) barrier/liner layers and CuMn alloy seedlayers are compared against interconnects fabricated using a PVD TaN barrier/CVD Co liner scheme with selective CVD Co capping. Secondary ion mass spectroscopy (SIMS) studies of PVD TaN barrier/CVD Co liner structures indicates that top-surface segregation of the Mn-dopant in alloy seedlayers is suppressed in the presence of the CVD Co Liner. Alternate metal capping in the form of selective CVD Co layers is evaluated in combination with CVD Co liners. Good electrical yields are obtained in-line with the Co liner/Co cap scheme. The PVD TaN/Co-Liner/Selective CVD Co cap combination is seen to have greatly enhanced electromigration performance over PVD Ta(N)/PVD CuMn controls, with T50 fail times for the former being ~100x longer than the controls. Kinetics studies of the CVD Co liner/selective Co cap samples show electromigration activation energies of 1.7 eV, a substantial enhancement over the 1.0 eV obtained for the PVD Ta(N)/CuMn controls.
- Published
- 2013
- Full Text
- View/download PDF
47. Thickness dependence of boron penetration through O/sub 2/- and N/sub 2/O-grown gate oxides and its impact on threshold voltage variation
- Author
-
Leonard C. Feldman, L. Manchanda, Frieder H. Baumann, K.S. Krisch, D. Brasen, and M. L. Green
- Subjects
Thermal oxidation ,Materials science ,Doping ,Oxide ,Analytical chemistry ,chemistry.chemical_element ,Dielectric ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,chemistry.chemical_compound ,chemistry ,Rapid thermal processing ,MOSFET ,Electronic engineering ,Electrical and Electronic Engineering ,Boron - Abstract
We report on a quantitative study of boron penetration from p/sup +/ polysilicon through 5- to 8-nm gate dielectrics prepared by rapid thermal oxidation in O/sub 2/ or N/sub 2/O. Using MOS capacitor measurements, we show that boron penetration exponentially increases with decreasing oxide thickness. We successfully describe this behavior with a simple physical model, and then use the model to predict the magnitude of boron penetration, N/sub B/, for thicknesses other than those measured. We find that the minimum t/sub ox/ required to inhibit boron penetration is always 2-4 nm less when N/sub 2/O-grown gate oxides are used in place of O/sub 2/- grown oxides. We also employ the boron penetration model to explore the conditions under which boron-induced threshold voltage variation can become significant in ULSI technologies. Because of the strong dependence of boron penetration on t/sub ox/, incremental variations in oxide thickness result in a large variation in N/sub B/, leading to increased threshold voltage spreading and degraded process control. While the sensitivity of threshold voltage to oxide thickness variation is normally determined by channel doping and the resultant depletion charge, we find that for a nominal thickness of 6 nm, threshold voltage control is further degraded by penetrated boron densities as low as 10/sup 11/ cm/sup -2/.
- Published
- 1996
- Full Text
- View/download PDF
48. An approach to quantitative high-resolution transmission electron microscopy of crystalline materials
- Author
-
Frieder H. Baumann, Peter Schwander, Michael Seibt, Abbas Ourmazd, Y. Kim, and Christian Kisielowski
- Subjects
Optics ,Condensed matter physics ,Chemistry ,business.industry ,Lattice (order) ,Crystalline materials ,business ,High-resolution transmission electron microscopy ,Instrumentation ,Discrete tomography ,Atomic and Molecular Physics, and Optics ,Quantum well ,Electronic, Optical and Magnetic Materials - Abstract
We describe how lattice images may be used to measure the variation of the projected potential in crystalline solids in any projection, with no knowledge of the imaging conditions. This approach is applicable to many solids with atoms residing entirely on coherent lattices, in which interfacial topography or changes in composition are of interest. We present atomic-level topographic maps of Si/SiO 2 interfaces in plan-view, and compositional maps of Si/GeSi/Si quantum wells in cross-section. We conclude with a detailed discussion of the capabilities and limitations of this approach.
- Published
- 1995
- Full Text
- View/download PDF
49. Electromigration extendibility of Cu(Mn) alloy-seed interconnects, and understanding the fundamentals
- Author
-
Ming He, Cathryn Christiansen, Kunaljeet Tanwar, Frieder H. Baumann, Patrick W. DeHaven, Samuel S. Choi, J. Rowland, T. Ryan, Hoon Kim, Leo Tai, A. Simon, Anita Madan, Donald F. Canaperi, Tibor Bolom, X. Zhang, Steven E. Molis, F. Ito, Akira Uedono, Philip L. Flaitz, C.-K. Hu, R. J. Davis, Daniel C. Edelstein, R. Murphy, Christopher Parks, Christopher J. Penny, Terry A. Spooner, James J. Kelly, James Chingwei Li, Sunny Chiang, and Takeshi Nogami
- Subjects
Metal ,Materials science ,visual_art ,Metallurgy ,Alloy ,engineering ,visual_art.visual_art_medium ,Mn alloy ,Chemical vapor deposition ,engineering.material ,Layer (electronics) ,Electromigration - Abstract
Cu(Mn) alloy seed BEOL studies revealed fundamental insights into Mn segregation and EM enhancement. We found a metallic-state Mn-rich Cu layer under the MnOx layer at the Cu/SiCNH cap interface, and correlated this metallic layer with additional EM enhancement. A carbonyl-based CVD-Co liner film consumed Mn, reducing its segregation and EM benefit, suggesting O-free Co liner films are strategic for Cu-alloy seed extendibility.
- Published
- 2012
- Full Text
- View/download PDF
50. CVD Co capping layers for Cu/low-k interconnects: Cu EM enhancement vs. Co thickness
- Author
-
Daniel C. Edelstein, Ping-Chuan Wang, Paul F. Ma, C.-C. Yang, Sy Lee, Frieder H. Baumann, and Joseph F. Aubuchon
- Subjects
Materials science ,Deposition pressure ,chemistry ,Analytical chemistry ,chemistry.chemical_element ,Co deposition ,Dielectric ,Chemical vapor deposition ,Selectivity ,Cobalt ,Electromigration ,Copper - Abstract
Co films with various thicknesses were selectively deposited as Cu capping layers by chemical vapor deposition technique. Selectivity of the Co deposition between Cu and dielectric surfaces was improved by both raising the deposition pressure and adopting a pre-clean process prior to the Co deposition. Degree of electromigration resistance enhancement was observed to be dependent on the deposited Co thickness. Compared to the no-Co control, significant EM lifetime enhancement was observed when the Co cap is thicker than 6nm.
- Published
- 2011
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.