21 results on '"Florian Gstrein"'
Search Results
2. Scaling opportunities with next-generation, multi-pitch directed self assembly
- Author
-
Florian Gstrein
- Subjects
Resist ,Computer science ,law ,Extreme ultraviolet lithography ,Audio time-scale/pitch modification ,Process (computing) ,Electronic engineering ,Division (mathematics) ,Photolithography ,Lithography ,Immersion lithography ,law.invention - Abstract
As feature sizes shrink, state-of-the-art patterning has to meet three critical challenges: The ability to print patterns at tight pitch, the ability to accurately place patterns with respect to each other (edge placement error or EPE control) and the ability to deal with pattern variations induced by photon and resist stochastics. While extreme-UV lithography (EUV) with NA 0.33 can now routinely print sub 30 nm pitch line and space patterns and improve pattern placement by reducing the number of required overlay steps, the industry needs to invent complementary patterning techniques for continued pitch scaling with EUV. Complementary patterning methods like spacer-based pitch division have allowed the industry to break the Rayleigh resolution limit of 193 nm wavelength immersion lithography and pitch division of EUV patterns will allow the industry to scale pitch well below 20 nm. However, as the target pitch scales, variations in the critical dimensions (CD) of line and space patterns caused by photon and resist stochastics will absorb a significant portion of the EPE budget. Directed self-assembly (DSA) is a powerful patterning technique to pattern dense, periodic features with low number of defects and with pattern uniformity not readily achieved by optical lithography alone. DSA offers a fundamental EPE advantage over spacer-based pitch division since the line and space CDs are chemically encoded into each molecule with unprecedented accuracy. Additionally, ease of pitch scaling and low process complexity are well-documented features of DSA processing. However, since the pitch of the DSA-defined line/space pattern is determined by the molecular weight of the polymer, we have been unable to deploy DSA to flexible design rule applications that require multiple pitches and multiple CDs. In this paper we will report on process flows that use DSA to rectify a complex, multi-pitch and multi-CD pre-patterns printed with EUV. We will describe how material selection, EUV exposure, and processing conditions impact the type of complex patterns that can be rectified and highlight opportunities for materials development to make this powerful complementary patterning technology become a reality.
- Published
- 2021
- Full Text
- View/download PDF
3. A Selectively Colorful yet Chilly Perspective on the Highs and Lows of Dielectric Materials for CMOS Nanoelectronics
- Author
-
Matthew V. Metz, James S. Clarke, Mauro J. Kobrinsky, J. Bielefeld, Ramanan V. Chebiam, Marius K. Orlowski, Sean W. King, Carl H. Naylor, S. Vyas, John J. Plombon, R. Thapa, Vamseedhara Vemuri, James M. Blackwell, Ye Fan, David J. Michalak, Florian Gstrein, Nicholas C. Strandwitz, and Michelle M. Paquette
- Subjects
Permittivity ,CMOS ,Nanoelectronics ,Computer science ,Perspective (graphical) ,Cmos electronics ,Dielectric ,Engineering physics - Abstract
The remarkable advancement of CMOS electronics over the past two decades has been greatly aided by innovations allowing dielectric scaling across both ends of the permittivity spectrum. This paper describes how new dielectric innovations beyond permittivity scaling will allow both the extension of Moore’s law for another decade and usher in an array of new devices and computational paradigms.
- Published
- 2020
- Full Text
- View/download PDF
4. Modeling photon, electron, and chemical interactions in a model hafnium oxide nanocluster EUV photoresist
- Author
-
James M. Blackwell, Patrick Theofanis, Florian Gstrein, and Marie Krysak
- Subjects
Chemical process ,Materials science ,Photon ,Resist ,Density functional theory ,Electron ,Photoionization ,Photoresist ,Multiscale modeling ,Computational physics - Abstract
Despite years of research and development, the fundamental processes of photoionization, secondary electron generation, recombination, diffusion, and resist switching are poorly understood at the atomic level for EUVL. Multiscale modeling of these physical and chemical processes can provide answers to questions that are difficult or impossible to answer with experiment alone. A modeling pipeline that includes Monte Carlo modeling of photon- and electron-matter interactions, along with density functional theory calculations of chemical switching will be introduced in this proceeding. The Hf4O2(OMc)12 nanocluster resist will be presented as a case study. Photon and secondary electron yields, electron energy and spatial distributions, and a quantum chemical pathway for negative tone switching will be presented. Fundamental learning from studies like this can be used to improve resist design including improving contrast of these materials.
- Published
- 2020
- Full Text
- View/download PDF
5. Electrical and reliability characterization of CuMn self forming barrier interconnects on low-k CDO dielectrics
- Author
-
Sridhar Balakrishnan, Rohan Akolkar, Florian Gstrein, Feng Xia, Barbara Miner, M. Harmes, Arda Genc, Tejaswi K. Indukuri, Daniel J. Zierath, and James S. Clarke
- Subjects
Self forming ,Materials science ,business.industry ,Copper interconnect ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,Reliability (semiconductor) ,Forensic engineering ,Optoelectronics ,Node (circuits) ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
PVD CuMn self-forming barrier (SFB) approach was investigated on 300mm wafers as an alternative to conventional PVD Ta/Cu metallization. Cu fill on very aggressive dual damascene features targeted for beyond 32nm node was evaluated along with integrated electrical and reliability performance on low-k (k=2.6) interlayer dielectric (ILD).
- Published
- 2012
- Full Text
- View/download PDF
6. The Role of Band Bending in Affecting the Surface Recombination Velocities for Si(111) in Contact with Aqueous Acidic Electrolytes
- Author
-
Florian Gstrein, David J. Michalak, and Nathan S. Lewis
- Subjects
Aqueous solution ,Chemistry ,SAMPLE history ,Photoconductivity ,Analytical chemistry ,Electrolyte ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,General Energy ,Band bending ,Adsorption ,Physical and Theoretical Chemistry ,Buffered oxide etch ,Recombination - Abstract
The role of band bending in affecting surface recombination velocity measurements has been evaluated by combining barrier height data with charge-carrier lifetime measurements for Si(111) surfaces in contact with a variety of acidic aqueous electrolytes. Charge-carrier lifetimes and thus surface recombination velocities have been measured by contactless radio frequency photoconductivity decay techniques for long bulk lifetime n-Si(111) samples in contact with 11 M (40% by weight) NH_4F(aq), buffered (pH = 5) HF(aq), 27 M (48% by weight) HF(aq), or concentrated 18 M H_2SO_4. Regardless of the sample history or surface condition, long charge-carrier lifetimes were observed for n-Si(111) surfaces in contact with 11 M NH_4F(aq) or buffered HF(aq). On the basis of previous barrier height measurements, this behavior is consistent with the formation of an electrolyte-induced surface accumulation layer that reduces the rate of steady-state surface recombination even in the presence of a significant density of surface trap sites. A straightforward evaluation of the surface trap state density from the measured surface recombination velocities, S, is thus precluded for such Si/liquid contacts. In contrast, a wide range of S values, depending on the history of the sample and the state of the surface, were observed for n-Si(111) surfaces in contact with 27 M HF(aq). These results in conjunction with previously measured barrier height data indicate that the charge-carrier lifetimes measured for n-Si(111) in contact with 27 M HF(aq) can be directly correlated with the surface condition and the effective surface-state trap density. These conclusions were confirmed by measurements of the apparent S values of n-Si(111) surfaces in contact with various solutions in the presence of the known deep trap, Cu. For Si(111)/HF(aq) contacts, very high (≥920 ± 270 cm s^(-1)) surface recombination velocities were observed when 0.16 mM (10 ppm) Cu^(2+) was in the solution and/or adsorbed onto the Si(111) surface as Cu^0 deposits, whereas low (100 ± 75 or 225 ± 20 cm s^(-1)) apparent surface recombination velocities were measured for Cu-contaminated Si(111) samples in contact with 0.16 mM (10 ppm) Cu^(2+)-containing 11 M NH_4F(aq) or BHF(aq) solutions, respectively.
- Published
- 2008
- Full Text
- View/download PDF
7. Interfacial Energetics of Silicon in Contact with 11 M NH4F(aq), Buffered HF(aq), 27 M HF(aq), and 18 M H2SO4
- Author
-
Nathan S. Lewis, David J. Michalak, and Florian Gstrein
- Subjects
Differential capacitance ,Silicon ,Chemistry ,Doping ,Analytical chemistry ,chemistry.chemical_element ,Conductance ,Electrolyte ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Dielectric spectroscopy ,General Energy ,Rectangular potential barrier ,Physical and Theoretical Chemistry ,Buffered oxide etch - Abstract
Open-circuit impedance spectra, channel impedance spectroscopy on solution-gated field-effect devices, and differential capacitance vs potential (Mott−Schottky) measurements were used to determine the energetics of n-Si(111), n-Si(100), and p-Si(111) electrodes in contact with aqueous 11 M (40% by weight) NH_4F, buffered HF (BHF), 27 M (48%) HF(aq), and concentrated (18 M) H_2SO_4. A Mott−Schottky analysis of A_s^2C_(sc)^(-2)-vs-E (where As is the interfacial area, and C_(sc) is the differential capacitance as a function of the electrode potential, E) data yielded reliable barrier heights for some silicon/liquid contacts in this work. Performing a Mott−Schottky analysis, however, requires measurement of the differential capacitance under reverse bias, where oxidation or etching can occur for n-Si and where electroplating of metal contaminants can occur for p-Si. Hence, open-circuit methods would offer desirable, complementary approaches to probing the energetics of such contacts. Accordingly, open-circuit, near-surface channel conductance measurements have been performed using solution-gated n^+-p-Si(111)-n^+ and p^+-n-Si(100)-p^+ devices. Additionally, open-circuit impedance spectra were obtained for silicon electrodes in contact with these solutions. The combination of the three techniques indicated that the surfaces of n-Si(111) and n-Si(100) were under accumulation when in contact with either 11 M NH_4F(aq) or BHF(aq). The barrier heights for n-Si(111) and n-Si(100) in 11 M NH_4F(aq) were −0.065 ± 0.084 V and −0.20 ± 0.21 V, respectively, and were −0.03 ± 0.19 V and −0.07 ± 0.24 V, respectively, for these surfaces in contact with buffered HF(aq). Consistently, p-Si(111) surfaces were determined to be in inversion in contact with these electrolytes, exhibiting barrier heights of 0.984 ± 0.078 V in contact with 11 M NH_4F(aq) and 0.97 ± 0.22 V in contact with buffered HF(aq). In contact with 27 M HF(aq), n-Si(111) and n-Si(100) were in depletion, with barrier heights of 0.577 ± 0.038 V and 0.400 ± 0.057 V, respectively, and p-Si(111) was under inversion with a barrier height of 0.856 ± 0.076 V. Measurements performed in 18 M H_2SO_4 revealed barrier heights of 0.75 ± 0.11 V, 0.696 ± 0.043 V, and 0.889 ± 0.018 V for n-Si(111), n-Si(100), and p-Si(111), respectively, demonstrating that in 18 M H_2SO_4, the band edge positions of Si were different for different doping types. The barrier height data demonstrate that the observed low recombination rates of silicon in contact with 11 M NH_4F, BHF, or 18 M H_2SO_4 cannot necessarily be attributed to a reduction in the number of surface trap states. In part, low surface recombination rates are expected for such systems because the very large or very small barrier height for silicon in contact with these liquids provides a potential barrier that prevents one type of photogenerated carrier (either electrons or holes) from reaching the surface, thereby producing a low steady-state surface recombination rate.
- Published
- 2007
- Full Text
- View/download PDF
8. Near-Surface Channel Impedance Measurements, Open-Circuit Impedance Spectra, and Differential Capacitance vs Potential Measurements of the Fermi Level Position at Si/CH3CN Contacts
- Author
-
David J. Michalak, David Knapp, Florian Gstrein, and Nathan S. Lewis
- Subjects
Differential capacitance ,Chemistry ,Fermi level ,Analytical chemistry ,Schottky diode ,Acceptor ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Decamethylferrocene ,symbols.namesake ,chemistry.chemical_compound ,General Energy ,Cobaltocene ,Electrode ,symbols ,Physical and Theoretical Chemistry ,Electrochemical potential - Abstract
Near-surface channel impedance measurements, open-circuit impedance spectra, and differential capacitance vs potential measurements have been used to determine the barrier height of liquid contacts formed with n-type and p-type Si electrodes. Barrier heights were measured as the redox potential, E(A/A^-), of a metallocene-based, one-electron, outer-sphere, acceptor/donor (A/A^-) pair was varied in CH_3CN solvent. The barrier heights of p-Si(111) electrodes in contact with CH_3CN−Me_(10)Fc^(+/0) (where Me_(10)Fc is decamethylferrocene) or CH_3CN-CoCp_2^(+/0) (where CoCp_2 is cobaltocene) were 0.69 ± 0.1 and 1.1 ± 0.1 V respectively. In contrast, barrier heights for n-Si(111)/CH_3CN−Me_(10)Fc^(+/0) and n-Si(111)/CH_3CN-CoCp_2^(+/0) contacts were 0.66 ± 0.1 and 0.09 ± 0.01 V, respectively. These measurements indicate that the barrier heights closely track changes in the electrochemical potential of the contact, instead of being relatively invariant to changes in the Fermi level of the contacting phase, as is observed for Si/metal Schottky barriers. These measurements also demonstrate that the low effective surface recombination velocity, S, for silicon in contact with CoCp_2^(+/0) is primarily the result of an accumulation layer rather than solely being due to a low density of surface electrical defects.
- Published
- 2007
- Full Text
- View/download PDF
9. Electrochemical materials and processes in Si integrated circuit technology
- Author
-
Chin-Chang Cheng, Valery M. Dubin, Arnel M. Fajardo, Ramanan V. Chebiam, Rohan Akolkar, and Florian Gstrein
- Subjects
Interconnection ,Materials science ,General Chemical Engineering ,chemistry.chemical_element ,Nanotechnology ,Carbon nanotube ,Integrated circuit ,Dielectrophoresis ,Electromigration ,law.invention ,chemistry ,law ,Electrochemistry ,Electroplating ,Cobalt ,Layer (electronics) - Abstract
Various technical issues related to feature scaling and recent electrochemical technologies advances for on-chip copper interconnects at Intel are reviewed. Effects of additives on electroplating, as well as performance of novel Cu direct plating on ruthenium liner are discussed. An electroless cobalt capping layer of Cu lines, which led to increased electromigration resistance, has been characterized. The potential application of carbon nanotubes as future interconnects materials, their properties and controlled placement by using dielectrophoresis are also reviewed.
- Published
- 2007
- Full Text
- View/download PDF
10. Material readiness for generation 2 directed self-assembly (DSA) < 24nm pitch
- Author
-
Manish Chandhok, Florian Gstrein, Ashish N. Gaikwad, Eungnak Han, Kranthi Kumar Elineni, Praveen K. Setu, Alan Myers, Todd R. Younkin, Paul A. Nyhus, Charles H. Wallace, and Tronic Tristan A
- Subjects
Directed self assembly ,Materials science ,law ,Copolymer ,Brush ,Surface modification ,Nanotechnology ,Substrate (electronics) ,Composite material ,law.invention - Abstract
The self-assembling behavior of thermally annealed PS-b-PMMA block copolymer derivatives (GEN2 BCPs) was evaluated using a substrate modified by a random copolymer, commonly called a ‘brush’. Similar to PS-b-PMMA, surface modification using the random copolymer brush served as an effective technique for controlling the domain orientation of the GEN2 BCP and yielded aligned features with pitches below 24nm. Non-preferential and weakly preferential random copolymers were also defined and applied to DSA using a graphoepitaxial approach. Finally, a Dry Development Rinse Process (DDRP)[1] was tested as a method to prevent pattern collapse and improve pattern transfer for GEN2 BCPs.
- Published
- 2015
- Full Text
- View/download PDF
11. Dry development rinse process for ultimate resolution improvement via pattern collapse mitigation
- Author
-
Safak Sayan, Florian Gstrein, Yuhei Kuwahara, Michael J. Leeson, Zheng Tao, Boon Teik Chan, Danilo De Simone, Geert Vandenberghe, Kathleen Nafus, and Arjun Singh
- Subjects
Materials science ,business.industry ,Extreme ultraviolet lithography ,Nuclear engineering ,Process (computing) ,Resolution improvement ,Surface finish ,Optics ,Resist ,Extreme ultraviolet ,medicine ,Process window ,medicine.symptom ,business ,Collapse (medical) - Abstract
Pattern collapse currently limits the achievable resolution of the highest resolving EUV photoresists available. The causes of pattern collapse include the surface tension of the rinse liquid and the shrinkage of the resist pattern during the drying step. If these collapse mechanisms can be successfully mitigated with process approaches that do not require changes to the resist itself, the ultimate resolution of existing EUV resists can be improved. Described here is a dry development rinse process, applicable to existing EUV photoresists, which prevents pattern collapse to both improve ultimate resolution and the process window of currently resolvable features. Reducing the burden of collapse prevention on the resist also allows improvements in line width roughness (LWR) and cross section profile and provides additional degrees of freedom for future resist design.
- Published
- 2015
- Full Text
- View/download PDF
12. Measurement of the driving force dependence of interfacial charge-transfer rate constants in response to pH changes at n-ZnO/H2O interfaces
- Author
-
Florian Gstrein, Nathan S. Lewis, Bruce S. Brunschwig, and Thomas W. Hamann
- Subjects
chemistry.chemical_classification ,Electron transfer ,Reaction rate constant ,Differential capacitance ,Chemistry ,Electrode ,Analytical chemistry ,General Physics and Astronomy ,Electron ,Physical and Theoretical Chemistry ,Electron acceptor ,Current density ,Redox - Abstract
Changes in pH have been used to shift the band-edge positions of n-type ZnO electrodes relative to solution-based electron acceptors having pH-independent redox potentials. Differential capacitance vs. potential and current density vs. potential measurements using [Co(bpy) 3 ] 3+/2+ and [Ru(bpy) 2 (MeIm) 2 ] 3+/2+ (where bpy = 2,2′-bipyridyl and MeIm = 1-methyl-imidazole) allowed investigation of the pH-induced driving-force dependence of the interfacial electron-transfer rate in the normal and inverted regions of electron transfer, respectively. All rate processes were observed to be kinetically first-order in the concentration of electrons at the ZnO surface and first-order in the concentration of dissolved redox acceptors. Measurements using [Co(bpy) 3 ] 3+/2+ , which has a low driving force and a high reorganization energy in contact with ZnO electrodes, and measurements of [Ru(bpy) 2 (MeIm) 2 ] 3+/2+ , which has a high driving force and a low reorganization energy in contact with ZnO electrodes, allowed for the evaluation of both the normal and inverted regions of interfacial electron-transfer processes, respectively. The rate constant at optimum exoergicity was observed to be approximately 5 × 10 −17 cm 4 s −1 . The rate constant vs. driving-force dependence at n-type ZnO electrodes exhibited both normal and inverted regions, and the data were well-fitted by parabolas generated using classical electron-transfer theory.
- Published
- 2006
- Full Text
- View/download PDF
13. Measurement of the Dependence of Interfacial Charge-Transfer Rate Constants on the Reorganization Energy of Redox Species at n-ZnO/H2O Interfaces
- Author
-
Florian Gstrein, Nathan S. Lewis, Bruce S. Brunschwig, and Thomas W. Hamann
- Subjects
Differential capacitance ,Surface Properties ,Chemistry ,Inorganic chemistry ,Water ,chemistry.chemical_element ,General Chemistry ,Biochemistry ,Acceptor ,Redox ,Catalysis ,Ruthenium ,Bipyridine ,chemistry.chemical_compound ,Electron transfer ,Colloid and Surface Chemistry ,Reaction rate constant ,Models, Chemical ,Thermodynamics ,Physical chemistry ,Zinc Oxide ,Oxidation-Reduction ,Cobalt - Abstract
The interfacial energetic and kinetics behavior of n-ZnO/H2O contacts have been determined for a series of compounds, cobalt trisbipyridine (Co(bpy)3(3+/2+)), ruthenium pentaamine pyridine (Ru(NH3)5 py(3+/2+)), cobalt bis-1,4,7-trithiacyclononane (Co(TTCN)2(3+/2+)), and osmium bis-dimethyl bipyridine bis-imidazole (Os(Me2bpy)2(Im)2(3+/2+)), which have similar formal reduction potentials yet which have reorganization energies that span approximately 1 eV. Differential capacitance vs potential and current density vs potential measurements were used to measure the interfacial electron-transfer rate constants for this series of one-electron outer-sphere redox couples. Each interface displayed a first-order dependence on the concentration of redox acceptor species and a first-order dependence on the concentration of electrons in the conduction band at the semiconductor surface, in accord with expectations for the ideal model of a semiconductor/liquid contact. Rate constants varied from 1 x 10(-19) to 6 x 10(-17) cm4 s(-1). The interfacial electron-transfer rate constant decreased as the reorganization energy, lambda, of the acceptor species increased, and a plot of the logarithm of the electron-transfer rate constant vs (lambda + deltaG(o)')(2)/4lambda k(B)T (where deltaG(o)' is the driving force for interfacial charge transfer) was linear with a slope of approximately -1. The rate constant at optimal exoergicity was found to be approximately 5 x 10(-17) cm4 s(-1) for this system. These results show that interfacial electron-transfer rate constants at semiconductor electrodes are in good agreement with the predictions of a Marcus-type model of interfacial electron-transfer reactions.
- Published
- 2005
- Full Text
- View/download PDF
14. Measurement of the Free-Energy Dependence of Interfacial Charge-Transfer Rate Constants using ZnO/H2O Semiconductor/Liquid Contacts
- Author
-
Thomas W. Hamann, Nathan S. Lewis, Bruce S. Brunschwig, and Florian Gstrein
- Subjects
Aqueous solution ,Differential capacitance ,Chemistry ,Stereochemistry ,Thermodynamics ,General Chemistry ,Electron ,Biochemistry ,Catalysis ,Electron transfer ,Colloid and Surface Chemistry ,Reaction rate constant ,Cyclic voltammetry ,Current density ,Extrinsic semiconductor - Abstract
The dependence of electron-transfer rate constants on the driving force for interfacial charge transfer has been investigated using n-type ZnO electrodes in aqueous solutions. Differential capacitance versus potential and current density versus potential measurements were used to determine the energetics and kinetics, respectively, of the interfacial electron-transfer processes. A series of nonadsorbing, one-electron, outer-sphere redox couples with formal reduction potentials that spanned approximately 900 mV allowed evaluation of both the normal and Marcus inverted regions of interfacial electron-transfer processes. All rate processes were observed to be kinetically first-order in the concentration of surface electrons and first-order in the concentration of dissolved redox acceptors. The band-edge positions of the ZnO were essentially independent of the Nernstian potential of the solution over the range 0.106-1.001 V vs SCE. The rate constant at optimal exoergicity was observed to be approximately 10(-)(16) cm(4) s(-)(1). The rate constant versus driving force dependence at n-type ZnO electrodes exhibited both normal and inverted regions, and the data were well-fit by a parabola generated using classical Marcus theory with a reorganization energy of 0.67 eV. NMR line broadening measurements of the self-exchange rate constants indicated that the redox couples had reorganization energies of 0.64-0.69 eV. The agreement between the reorganization energy of the ions in solution and the reorganization energy for the interfacial electron-transfer processes indicated that the reorganization energy was dominated by the redox species in the electrolyte, as expected from an application of Marcus theory to semiconductor electrodes.
- Published
- 2005
- Full Text
- View/download PDF
15. Investigation of novel inorganic resist materials for EUV lithography
- Author
-
Steve Putna, Florian Gstrein, James M. Blackwell, Marie Krysak, Todd R. Younkin, Shane M. Harlson, Kent N. Frasure, and Michael J. Leeson
- Subjects
Materials science ,Resist ,Extreme ultraviolet lithography ,High resolution ,Nanotechnology ,Inorganic materials ,Surface finish ,Exposure data - Abstract
Recently, both PSI1 and ASML2 illustrated champion EUVL resolution using slow, non-chemically amplified inorganic resists. However, the requirements for EUVL manufacturing require simultaneous delivery of high resolution, good sensitivity, and low line edge/width roughness (LER/LWR) on commercial grade hardware. As a result, we believe that new classes of materials should be explored and understood. This paper focuses on our efforts to assess metal oxide based nanoparticles as novel EUV resists3. Various spectroscopic techniques were used to probe the patterning mechanism of these materials. EUV exposure data is presented to investigate the feasibility of employing inorganic materials as viable EUV resists.
- Published
- 2014
- Full Text
- View/download PDF
16. Patterning challenges in the fabrication of 12 nm half-pitch dual damascene copper ultra low-k interconnects
- Author
-
David J. Michalak, Kanwal Jit Singh, Alan Myers, Christopher J. Jezewski, Florian Gstrein, Tejaswi K. Indukuri, Jasmeet S. Chawla, Richard E. Schenker, B. Krist, and Hui Jae Yoo
- Subjects
Stress (mechanics) ,Materials science ,Fabrication ,Residual stress ,business.industry ,Trench ,Copper interconnect ,Optoelectronics ,Nanotechnology ,business ,Aspect ratio (image) ,Lithography ,Elastic modulus - Abstract
Earlier [1, 2] work highlighted an integrated process for electrically functional 12 nm half-pitch copper interconnects in an ultralow-k interlayer dielectric (ILD). In this paper, we focus on understanding and reducing undesired effects such as pattern asymmetry/distortion, and line undulation/ collapse. Key defect modes and possible solution paths are discussed. Line undulation can occur when the ILD feature changes shape under the stress of the sacrificial hard mask(s) (HM) during patterning, resulting in “wavy” instead of straight features. The amount of undulation is directly related to mechanical properties such as elastic modulus, residual stresses of patterned HMs and the ILD, as well as the dimensions and aspect ratio of the features. Line collapse is observed post wet-clean processing when one or more of the following is true - Insufficient ILD mechanical strength, excessive pattern aspect ratio, or non-uniform drying. Pattern asymmetry , or unequal critical dimensions (CD) of trenches defined by the same backbone, is a typical problem encountered during spacer-based pitch division. In pitch quartering (P/4), three different trench widths result from small variations in backbone lithography, spacer CD and etch bias. Symmetric patterning can be achieved through rigorous control of patterning processes like backbone definition, spacer deposition and downstream etches. Plasma-based ash and energetic metal deposition were also observed to degrade patterning fidelity of ultra low-k film, and also need to be closely managed.
- Published
- 2014
- Full Text
- View/download PDF
17. Demonstration of a 12 nm-half-pitch copper ultralow-k interconnect process
- Author
-
Christopher J. Jezewski, Kanwal Jit Singh, Florian Gstrein, Robert B. Turkot, Richard E. Schenker, Rohan Akolkar, Jasmeet S. Chawla, Ramanan V. Chebiam, Hui Jae Yoo, M. Harmes, Gary Allen, James S. Clarke, Colin T. Carver, B. Krist, Hazel Lang, Tejaswi K. Indukuri, and Alan Myers
- Subjects
Interconnection ,Materials science ,business.industry ,Process (computing) ,chemistry.chemical_element ,Dielectric ,Copper ,chemistry ,Distortion ,Electronic engineering ,Optoelectronics ,Electrical measurements ,business ,Lithography ,Next-generation lithography - Abstract
A process to achieve 12 nm half-pitch interconnect structures in ultralow-k interlayer dielectric (ILD) is realized using standard 193 nm lithography. An optimized pattern transfer that minimizes unwanted distortion of ILD features is followed by copper fill. Electrical measurements that validate functionality of the drawn structures are presented.
- Published
- 2013
- Full Text
- View/download PDF
18. Electron scattering at surfaces and grain boundaries in Cu thin films and wires
- Author
-
James S. Clarke, O'brien Kevin P, Daniel Gall, Jasmeet S. Chawla, and Florian Gstrein
- Subjects
Materials science ,Condensed matter physics ,Scattering ,Electrical resistivity and conductivity ,Annealing (metallurgy) ,Nanowire ,Grain boundary ,Crystallite ,Thin film ,Condensed Matter Physics ,Grain size ,Electronic, Optical and Magnetic Materials - Abstract
The electron scattering at surfaces, interfaces, and grain boundaries is investigated using polycrystalline and single-crystal Cu thin films and nanowires. The experimental data is described by a Fuchs--Sondheimer (FS) and Mayadas--Shatzkes (MS) model that is extended to account for the large variation in the specific resistivity of different grain boundaries as well as distinct top and bottom surfaces with different scattering specularity $p$. Textured polycrystalline Cu(111) thin films with thickness $d$ $=$ 25--50 nm are deposited on a stack of 7.5-nm Ta on SiO${}_{2}$/Si(001). Subsequent annealing results in small-grain (SG) thin films with an average grain size $\overline{D}$ that increases from 90 to 120 nm with increasing $d$. Corresponding large-grain (LG) thin films with $\overline{D}$ $=$ 160--220 nm are obtained by depositing 100--200-nm-thick films, followed by an in-situ anneal and a subsequent etch to match the thickness of the SG samples. Nanowires are fabricated from the SG and LG thin films using a subtractive patterning process, yielding wire widths of 75--350 nm. Single-crystal and LG layers exhibit a 18--22$%$ and 10--15$%$ lower resistivity than SG layers, respectively. The resistivity decrease from SG to LG Cu nanowires is 7--9$%$. The thickness and grain size dependence of the resistivity of polycrystalline and single-crystal Cu layers is well described by an exact version of the existing FS $+$ MS model but is distinct from the commonly used approximation, which introduces an error that increases with decreasing layer thickness from 6.5$%$ for $d$ $=$ 50 nm to 17$%$ for $d$ $=$ 20 nm. The case of nanowires requires the FS $+$ MS model to be extended to account for variation in the grain boundary reflection coefficient $R$, which effectively increases the overall resistivity by, for example, 16$%$ for 50 \ifmmode\times\else\texttimes\fi{} 45 nm${}^{2}$ wires. The overall data from single and polycrystalline Cu layers and wires yields $R$ $=$ 0.25 \ifmmode\pm\else\textpm\fi{} 0.05, and $p$ $=$ 0 at Cu-air and Cu-Ta interfaces.
- Published
- 2011
- Full Text
- View/download PDF
19. Al Diffusion in Polycrystalline Cu
- Author
-
Ebrahim Andideh, Harold W. Kennel, Barbara Miner, Florian Gstrein, Andre Budrevich, and John J. Plombon
- Subjects
Grain growth ,Materials science ,chemistry ,Aluminium ,Diffusion ,Analytical chemistry ,Tantalum ,Copper interconnect ,chemistry.chemical_element ,Crystallite ,Thin film ,Microbiology ,Ruthenium - Abstract
The diffusion of aluminum (Al) from a source sandwiched between polycrystalline copper (Cu) thin films was investigated as a function of time and temperature through secondary ion mass spectroscopy (SIMS) and continuum simulations. Extracted diffusion coefficients for the bulk were in line with literature values. In order to simulate the experimentally derived diffusion profiles at temperatures where bulk diffusion is not the dominant diffusion mechanism (room temperature to 350 °C), it was necessary to explicitly include the re-distribution of Al as a result of Cu grain growth during anneal. Aluminum has the tendency to segregate to the Cu/liner and Cu/etch stop (ES) interface. The tendency of Al to segregate to the liner is ten times stronger for ruthenium (Ru) than for tantalum (Ta). In 100 nm wide dual damascene structures lined with Ru, this segregation behavior was responsible for the Al depletion in bulk Cu and for the Al depletion at the Cu/ES interface.
- Published
- 2008
- Full Text
- View/download PDF
20. Effects of Interfacial Energetics on the Effective Surface Recombination Velocity of Si/Liquid Contacts
- Author
-
William J. Royea, David J. Michalak, Nathan S. Lewis, and Florian Gstrein
- Subjects
Chemistry ,Photoconductivity ,Analytical chemistry ,Electrolyte ,Redox ,Surfaces, Coatings and Films ,chemistry.chemical_compound ,Ferrocene ,Cobaltocene ,Materials Chemistry ,Methanol ,Physical and Theoretical Chemistry ,Acetonitrile ,Tetrahydrofuran - Abstract
Photoconductivity decay data have been obtained for NH_4F_((aq))-etched Si(111) and for air-oxidized Si(111) surfaces in contact with solutions of methanol, tetrahydrofuran (THF), or acetonitrile containing either ferrocene^(+/0) (Fc^(+/0)), [bis(pentamethylcyclopentadienyl)iron]^(+/0) (Me_(10)Fc^(+/0)), iodine (I_2), or cobaltocene^(+/0) (CoCp_2^(+/0)). Carrier decay measurements were made under both low-level and high-level injection conditions using a contactless rf photoconductivity decay apparatus. When in contact with electrolyte solutions having either very positive (Fc^(+/0), I_2/I^-) or relatively negative (CoCp_2^(+/0)) Nernstian redox potentials with respect to the conduction-band edge of Si, Si surfaces exhibited low effective surface recombination velocities. In contrast, surfaces that were exposed only to N_2(g) ambients or to electrolyte solutions that contained a mild oxidant (such as Me_(10)Fc^(+/0)) showed differing rf photoconductivity decay behavior depending on their different surface chemistry. Specifically, surfaces that possessed Si−OCH_3 bonds, produced by reaction of H-terminated Si with CH_3OH−Fc^(+/0), showed lower surface recombination velocities in contact with N_(2(g)) or in contact with CH_3OH−Me_(10)Fc^(+/0) solutions than did NH_4F_((aq))-etched, air-exposed H-terminated Si(111) surfaces in contact with the same ambients. Furthermore, the CH_3OH−Fc^(+/0)-treated surfaces showed lower surface recombination velocities than surfaces containing Si−I bonds, which were formed by the reaction of H-terminated Si surfaces with CH_3OH−I_2 or THF−I_2 solutions. These results can all be consistently explained through reference to the electrochemistry of Si/liquid contacts. In conjunction with prior measurements of the near-surface channel conductance for p^+−n−p^+ Si structures in contact with CH_3OH−Fc^(+/0) solutions, the data reveal that formation of an inversion layer (i.e., an accumulation of holes at the surface) on n-type Si, and not a reduced density of surface electrical trap sites, is primarily responsible for the long charge carrier lifetimes observed for Si surfaces in contact with CH_3OH or THF electrolytes containing I_2 or Fc^(+/0). Similarly, formation of an accumulation layer (i.e., an accumulation of electrons at the surface) consistently explains the low effective surface recombination velocity observed for the Si/CH_3OH−CoCp_2 and Si/CH_3CN−CoCp_2 contacts. Detailed digital simulations of the photoconductivity decay dynamics for semiconductors that are in conditions of inversion or depletion while in contact with redox-active electrolytes support these conclusions.
- Published
- 2002
21. High-frequency electrical properties of individual and bundled carbon nanotubes
- Author
-
Yang Jiao, Valery M. Dubin, J. J. Plombon, Florian Gstrein, and O'brien Kevin P
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,Carbon nanotube actuators ,Nanotechnology ,Carbon nanotube ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,Kinetic inductance ,Carbon nanotube field-effect transistor ,law.invention ,Carbon nanotube quantum dot ,Inductance ,Condensed Matter::Materials Science ,Potential applications of carbon nanotubes ,law ,Bundle ,Optoelectronics ,business - Abstract
Bundles of single wall carbon nanotubes have been proposed as an interconnect that could potentially replace copper in state-of-the-art ultralarge-scale-integrated circuits if theoretically predicted inductance, resistance, and capacitance scale with the number of carbon nanotubes within the bundle. The authors report direct measurement of the kinetic inductance of individual single wall carbon nanotubes and measurement of the high-frequency impedance of bundles showing that the bundle inductance scales with the number of individual carbon nanotubes.
- Published
- 2007
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.