81 results on '"Chang Jin Kang"'
Search Results
2. The effects of midazolam administered postoperatively on emergence agitation in pediatric strabismus surgery
- Author
-
Jin Ho Bae, Bon-Wook Koo, Seon-jung Kim, Dong-hun Lee, Eui-Tai Lee, and Chang-jin Kang
- Subjects
emergence agitation ,midazolam ,sevoflurane ,Anesthesiology ,RD78.3-87.3 - Abstract
BackgroundThe present study tested the effect of midazolam administration after sevoflurane anesthesia against emergence agitation in children in the recovery phase.MethodsA total of 60 children presenting for ophthalmic surgery under sevoflurane anesthesia were randomly placed in four groups from Group I to Group IV. Before the end of the surgery, we injected normal saline 2 ml in Group I and Group IV. We administered a 2-ml mixture of midazolam 0.025 mg/kg and midazolam 0.050 mg/kg to Group II and Group III respectively. Among the patients with agitation scores 4 or 5 in the peostanesthesia care unit (PACU), Group IV patients were intravenously given a 1-ml mixture of midazolam 0.025 mg/kg and normal saline up to 3 times. Agitation parameters, anesthesia recovery times, and the total administration amounts of midazolam were measured.ResultsExtubation time was significantly longer and maximum agitation scores higher in Group III than in Group I. The rate of the length of the period when the agitation score was 4 or 5 out of the length of stay in the PACU was significantly lower in Group II, Group III, and Group IV than in Group I. The length of stay in the PACU was significantly longer in Group III, and Group IV than in Group I.ConclusionsFor pediatric patients under sevoflurane anesthesia, postoperative midazolam administration slightly prolonged the length of stay in the PACU. But it effectively reduced emergence agitation without any side effects.
- Published
- 2010
- Full Text
- View/download PDF
3. Patterning issues for the fabrication of sub-micron memory capacitors' electrodes.
- Author
-
Hyoun Woo Kim and Chang-Jin Kang
- Published
- 2003
- Full Text
- View/download PDF
4. Analysis of the reverse patterning phenomenon caused by a light source change in an attenuated phase shift mask
- Author
-
Chang-Jin Kang, Dae-Youp Lee, Chilhee Chung, Chul-Ho Kim, and Byoungdeog Choi
- Subjects
Scanner ,Materials science ,Bar (music) ,business.industry ,fungi ,Condensed Matter Physics ,Dark field microscopy ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Wavelength ,Light source ,Optics ,law ,Transmittance ,Phase-shift mask ,Optoelectronics ,sense organs ,Electrical and Electronic Engineering ,Photolithography ,business - Abstract
An attenuated phase shift mask (PSM) (half-tone PSM) is an effective and common technique for resolution enhancement and for forming specific patterns. When an ArF-attenuated PSM with hole- or space-type patterns is used under a KrF light source scanner, the original hole or space patterns are changed to island or bar patterns. In other words, reverse patterns are obtained from the original patterns. This phenomenon is explained by the transmittance change in the attenuated PSM due to the change in the light source. The wavelength of the original light source is extended when the light source is changed, and consequently, the dark field transmittance of this attenuated PSM becomes greater than the original transmittance. Thus, the final pattern becomes the inverse of the original pattern.
- Published
- 2013
- Full Text
- View/download PDF
5. Analysis of gate oxide damage by ultraviolet light during oxide deposition in high density plasma
- Author
-
Dong-Kwon Kim, Chang-Jin Kang, Kyoung-sub Shin, Jeong-Yun Lee, Dong-Hwan Kim, Si-Young Choi, and Myeong-cheol Kim
- Subjects
Materials science ,business.industry ,Metals and Alloys ,Oxide ,Surfaces and Interfaces ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Gate oxide ,Materials Chemistry ,Ultraviolet light ,Optoelectronics ,Breakdown voltage ,Wafer ,Inductively coupled plasma ,business ,Deposition (chemistry) ,Layer (electronics) - Abstract
The UV-induced damages to the gate oxide in a commercially available high-density-plasma dielectric oxide deposition system for the ultra-large integrated circuit fabrication process were analyzed systematically using the metal-oxide-semiconductor capacitors with different antenna ratio. UV-induced damages exclusively in the gate oxide were evaluated by depositing 2500 A thick oxide layer only once and twice on the two wafers separately and comparing the two results: the deposition of the oxide layer of only 2500 A did not cause any degradation in the SPDM wafer while the double deposition revealed antenna-ratio dependent shift of the breakdown voltage. The deviation of the values of breakdown voltage of the damaged wafer from its normal ones was found mainly at the center of the wafer where the intensity of the UV light is generally higher in the inductively coupled plasma source.
- Published
- 2011
- Full Text
- View/download PDF
6. Laser-Induced Epitaxial Growth (LEG) Technology for Multi-Stacked MOSFETs
- Author
-
Euijoon Yoon, Yong-Hoon Son, Chang-Jin Kang, and Ki-Hyun Hwang
- Subjects
Materials science ,Excimer laser ,Wafer bonding ,business.industry ,medicine.medical_treatment ,Oxide ,Recrystallization (metallurgy) ,Laser ,Epitaxy ,Amorphous solid ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,Thermal ,medicine ,Electronic engineering ,Optoelectronics ,business - Abstract
Three-dimensional stacked memory has attracted much attention due to its advantages such as high-speed operation, low-power consumption, and high-level integration. Many researchers have reported various novel approaches to achieve three dimensional device integration, including wafer bonding, epitaxial lateral overgrowth (ELO), zone melting recrystallization (ZMR), and lateral-solid phase epitaxy (L-SPE). These methods have some limitations, in terms of the requirement of stacked memory process which include simple integration process, low thermal budget due to the performance degradation of underlying devices and single crystalline quality on oxide. In this work, we suggest a laser-induced epitaxial growth (LEG) process that utilizes a single crystalline seed grown by selective epitaxial growth (SEG) process. This seed layer was formed in patterned contact holes linking the substrate Si and the stacked layer. A raised seed could prevent the degradation of electrical properties of underlying devices. An excimer laser was then used as a light source of the epitaxial growth via recrystallization to melt the deposited amorphous Si films both on oxide layer and seed contact during several nano-seconds.
- Published
- 2010
- Full Text
- View/download PDF
7. Selective Epitaxial Growth of Silicon Layer Using Batch-Type Equipment for Vertical Diode Application to Next Generation Memories
- Author
-
Kwang Ryul Kim, Joo Tae Moon, Chang Jin Kang, Kong Soo Lee, Hongsik Jeong, Hyunho Park, Jae Jong Han, Hanwook Jeong, Young Sub Yoo, Byoungdeog Choi, Daehan Yoo, and Seok Sik Kim
- Subjects
Materials science ,Silicon ,chemistry ,business.industry ,Optoelectronics ,chemistry.chemical_element ,business ,Epitaxy ,Layer (electronics) ,Diode - Abstract
Vertical diodes for cross-point phase change memory were realized by selective epitaxial growth (SEG) technique using cyclic chemical vapor deposition method. H2/SiH4/Cl2 cyclic CVD system was introduced in batch-type vertical furnace equipement, replacing conventional single-wafer H2/dichlorosilane/HCl CVD system. It provided excellent capacity of 40 wafers per batch. Selectivity loss which is one of the most crucial features in SEG process for diode application was controlled with both the amount of SiH4 and Cl2 and the period of gas supply, and practical value of selectivity loss was confirmed to be less than 100 in 200-mm wafers. Structural and electrical properties of pn diodes were investigated, and cyclic SEG silicon diode showed more eligible electrical ability to current flow than that of poly-si in terms of forward current and ideality factor as well as lower reverse leakage current.
- Published
- 2010
- Full Text
- View/download PDF
8. The effects of midazolam administered postoperatively on emergence agitation in pediatric strabismus surgery
- Author
-
Eui-Tai Lee, Jin Ho Bae, Seon-jung Kim, Dong Hun Lee, Chang-jin Kang, and Bon Wook Koo
- Subjects
Clinical Research Article ,medicine.medical_specialty ,genetic structures ,business.industry ,sevoflurane ,emergence agitation ,Sevoflurane ,Surgery ,lcsh:RD78.3-87.3 ,Anesthesiology and Pain Medicine ,midazolam ,lcsh:Anesthesiology ,Anesthesia ,medicine ,Midazolam ,heterocyclic compounds ,business ,medicine.drug ,Strabismus surgery ,Recovery phase ,Sevoflurane anesthesia - Abstract
Background The present study tested the effect of midazolam administration after sevoflurane anesthesia against emergence agitation in children in the recovery phase. Methods A total of 60 children presenting for ophthalmic surgery under sevoflurane anesthesia were randomly placed in four groups from Group I to Group IV. Before the end of the surgery, we injected normal saline 2 ml in Group I and Group IV. We administered a 2-ml mixture of midazolam 0.025 mg/kg and midazolam 0.050 mg/kg to Group II and Group III respectively. Among the patients with agitation scores 4 or 5 in the peostanesthesia care unit (PACU), Group IV patients were intravenously given a 1-ml mixture of midazolam 0.025 mg/kg and normal saline up to 3 times. Agitation parameters, anesthesia recovery times, and the total administration amounts of midazolam were measured. Results Extubation time was significantly longer and maximum agitation scores higher in Group III than in Group I. The rate of the length of the period when the agitation score was 4 or 5 out of the length of stay in the PACU was significantly lower in Group II, Group III, and Group IV than in Group I. The length of stay in the PACU was significantly longer in Group III, and Group IV than in Group I. Conclusions For pediatric patients under sevoflurane anesthesia, postoperative midazolam administration slightly prolonged the length of stay in the PACU. But it effectively reduced emergence agitation without any side effects.
- Published
- 2010
9. Hot-Spot Detection and Correction Using Full-Chip-Based Process Window Analysis
- Author
-
Sang-Wook Kim, Sung-Soo Suh, Yong-Jin Chun, Young-Chang Kim, Suk-Joo Lee, Jung-Hyeon Lee, Sung-Woon Choi, Chang-Jin Kang, Woo-Sung Han, and Joo-Tae Moon
- Subjects
Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,General Engineering ,Process (computing) ,General Physics and Astronomy ,Hot spot (veterinary medicine) ,Chip ,Design for manufacturability ,Optics ,Process window ,Sensitivity (control systems) ,business ,Critical dimension ,Exposure latitude - Abstract
In this paper, key process factors are computed during OPC for each fragment segments to perform a full-chip analysis of hot spot and removal of hot spot via process factor cost driven auto-correction or provide design guide for design for patterning (DFP) using a commercial OPC tool. Exposure latitude and dose sensitivity value represent image log slope (ILS) while DOF represents critical dimension variation at a defocused condition.
- Published
- 2008
- Full Text
- View/download PDF
10. Etching characteristics of photoresist and low-k dielectrics by Ar/O2 ferrite-core inductively coupled plasmas
- Author
-
Beom Hoan O, Chang-Jin Kang, Chin-Wook Chung, Dae-Kyu Choi, Joung Ho Lee, Suk-Ho Joo, Se-Geun Park, Jong Woo Lee, Junghoon Joo, Sung Kyeong Kim, Seung Gol Lee, Park Soon, Wan Jae Park, Duck Jin Chung, Chung-Gon Yoo, Joohee Kim, Sang-Deog Cho, Hyoun Woo Kim, Woon Suk Hwang, Jeong-Yeol Jang, Keeho Kim, Young-Chang Joo, and Sung Pil Chang
- Subjects
Chemistry ,Analytical chemistry ,Low-k dielectric ,Photoresist ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Fourier transform spectroscopy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Etching ,Electrical and Electronic Engineering ,Reactive-ion etching ,Fourier transform infrared spectroscopy ,Inductively coupled plasma ,Photolithography - Abstract
We have investigated the characteristics of Ar/O"2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O"2/(O"2+Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O"2/(O"2+Ar) gas flow ratio.
- Published
- 2008
- Full Text
- View/download PDF
11. Development and evaluation of highly efficient neutral beam source
- Author
-
Gyeong-Jin Min, Joo Tae Moon, Chul Shin, Han-Ku Cho, Ken Tokashiki, Jeon Yun-Kwang, Chang Jin Kang, Jin-Seok Lee, Do-young Kam, Yvette Lee, Do-Haing Lee, and Sung-Wook Hwang
- Subjects
Materials science ,Ion beam ,business.industry ,Surfaces and Interfaces ,General Chemistry ,Semiconductor device ,Condensed Matter Physics ,Ion gun ,Surfaces, Coatings and Films ,Ion ,Reflection (mathematics) ,Ion beam deposition ,Materials Chemistry ,Optoelectronics ,Atomic physics ,business ,Plasma processing ,Beam (structure) - Abstract
Neutralization process efficiency in a low-angle forward-reflected neutral beam source has been observed. Its charging properties have also been compared against those of a conventional plasma processing tool. Neutralization efficiency, defined as the ratio of the neutral beam flux to the extracted ion beam flux was found to be 99.5%. The neutralization efficiency was very high because the direct contact of the ion beams with grounded metal plates by low-angle forward reflection neutralizes charged ions efficiently. It was also found that the glancing effect of the low-angle reflection does not cause major energy losses. The ion beam energy was mostly preserved (over 95%) even after neutralization. Charging effects by ions passing through the reflector without neutralization appear to have negligible effects on performance degradation of semiconductor devices in comparison to a conventional plasma processing tool. Vertical profiles of poly Si trench etched in the neutral beam source show the beam's high directionality.
- Published
- 2007
- Full Text
- View/download PDF
12. Etching characteristics and modeling for oval-shaped contact
- Author
-
Gyung-jin Min, Seok-Hyun Lim, Chul-Ho Shin, Sung-Chan Park, Chang-Jin Kang, Han-Ku Cho, and Joo-Tae Moon
- Subjects
Long axis ,Materials science ,Short axis ,Bowing ,Metals and Alloys ,Surfaces and Interfaces ,Engraving ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ion ,Cross section (physics) ,Reflection (mathematics) ,stomatognathic system ,Etching (microfabrication) ,visual_art ,Materials Chemistry ,visual_art.visual_art_medium ,Forensic engineering ,Composite material - Abstract
In this study, etching characteristics of oval-shaped contact were investigated. The oval-shaped contact showed different etching characteristics compared to the circular contact. The long axis cross-section of oval-shaped contact showed a more vertical profile and a less bowing compared to the short axis. To explain these phenomena, we simulated ion reflection from sloped oval-shaped hard-mask. From the simulation, we found that the ions reflected from hard-mask accumulated more toward short axis sidewall first. This ion accumulation and asymmetric charging explained the reason behind larger bowing and slopped profile phenomena of short axis.
- Published
- 2007
- Full Text
- View/download PDF
13. Study of plasma charging-induced white pixel defect increase in CMOS active pixel sensor
- Author
-
Joo-Tae Moon, Yong-jin Kim, Kye Hyun Baek, Gyung-jin Min, KH Bai, Chang-Jin Kang, Han-Ku Cho, and Ken Tokashiki
- Subjects
Materials science ,Plasma etching ,Pixel ,business.industry ,Metals and Alloys ,Photodetector ,Surfaces and Interfaces ,Plasma ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Optics ,Silicon nitride ,chemistry ,Materials Chemistry ,Wafer ,Reactive-ion etching ,business ,Leakage (electronics) - Abstract
Plasma process-induced “white pixel defect” (WPD) of CMOS active pixel sensor (APS) is studied for Si3N4 spacer etch back process by using a magnetically enhanced reactive ion etching (MERIE) system. WPD preferably takes place at the wafer edge region when the magnetized plasma is applied to Si3N4 etch. Plasma charging analysis reveals that the plasma charge-up characteristic is well matching the edge-intensive WPD generation, rather than the UV radiation. Plasma charging on APS transfer gate might lead to a gate leakage, which could play a role in generation of signal noise or WPD. In this article the WPD generation mechanism will be discussed from plasma charging point of view.
- Published
- 2007
- Full Text
- View/download PDF
14. Photoresist ashing in nitrogen gas using ferrite core inductively coupled plasmas
- Author
-
Wan Jae Park, Chung-Gon Yoo, Hyoun Woo Kim, Chin-Wook Chung, Dae-Kyu Choi, Chang-Jin Kang, Nam Ho Kim, and Ju Hyun Myung
- Subjects
Ashing ,Chemistry ,Analytical chemistry ,Plasma ,Fourier transform infrared spectroscopy ,Photoresist ,Inductively coupled plasma ,Condensed Matter Physics ,Instrumentation ,Plasma ashing ,Ferrite core ,Surfaces, Coatings and Films ,Volumetric flow rate - Abstract
The characteristics of photoresist (PR) ashing using N 2 plasmas in a ferrite core inductively coupled plasma etcher have been studied and the effect of bias power and gas flow rate on PR ash rate and low- k material etch rate has been investigated. Fourier transform infrared spectroscopy revealed that the ashing process with N 2 gas produced less damage to the low- k material compared to the process with O 2 gas. The HF etch test was used to evaluate the ash damage to the low- k material.
- Published
- 2005
- Full Text
- View/download PDF
15. Investigation into patterning of a stack-type Ru electrode capacitor
- Author
-
Chang-Jin Kang and Hyoun Woo Kim
- Subjects
Fabrication ,Materials science ,business.industry ,Scanning electron microscope ,RF power amplifier ,Nanotechnology ,Condensed Matter Physics ,Engraving ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Volumetric flow rate ,Capacitor ,law ,visual_art ,Electrode ,visual_art.visual_art_medium ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Critical dimension - Abstract
We have investigated the characteristics of etching processes involved in the fabrication of the stack-type DRAM capacitor. The 4000-A high Ru electrode and the SiO2 hard mask with a critical dimension of 0.15 kHz were employed. The Ru etch rate and the Ru to SiO2 mask etch selectivity increased by increasing pressure, total gas flow rate, and by addition of C12 gas. We have removed the SiO2 mask residues using Ar/CHF3 plasmas, revealing that high RF power helps to remove the residue efficiently.
- Published
- 2003
- Full Text
- View/download PDF
16. Patterning of Ru electrode in O2/Cl2 gas using reactive ion etcher
- Author
-
Byong-Sun Ju, Hyoun Woo Kim, and Chang-Jin Kang
- Subjects
Plasma etching ,Transition metal ,Chemistry ,Etching ,Electrode ,Analytical chemistry ,Reactive-ion etching ,Condensed Matter Physics ,Selectivity ,Instrumentation ,Surfaces, Coatings and Films ,Ion ,Volumetric flow rate - Abstract
We have studied the reactive ion etching of Ru electrode using O 2 /Cl 2 plasma. We have revealed that the Ru etch rate and thus the Ru to SiO 2 etch selectivity increase by increasing pressure, total gas flow rate, temperature and decreasing the HRF power and LRF power. The vertical Ru etching profile is attained.
- Published
- 2003
- Full Text
- View/download PDF
17. Reactive ion etching of Pt electrode using O2-based plasma
- Author
-
Hyoun Woo Kim and Chang-Jin Kang
- Subjects
Plasma etching ,Analytical chemistry ,chemistry.chemical_element ,Plasma ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Pt electrode ,Transition metal ,chemistry ,Etching (microfabrication) ,Electrode ,Reactive-ion etching ,Platinum ,Instrumentation - Abstract
We have studied the reactive ion etching (RIE) of Pt electrode using O2 plasma with additional gases. Dual frequency RIE tool was used to obtain a high-energy ion bombardment. We have investigated the Pt etching profile using O2/HBr/Ar plasma. We reveal that there is a optimal gas flow ratio for attaining the node separation of Pt electrodes at small critical-dimension (CD) pattern.
- Published
- 2003
- Full Text
- View/download PDF
18. Investigation into the patterning of a concave-type Pt electrode capacitor using the reactive ion etching method
- Author
-
Byong-Sun Ju, Chang-Jin Kang, and Hyoun Woo Kim
- Subjects
Materials science ,Fabrication ,chemistry.chemical_element ,Nanotechnology ,Photoresist ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,chemistry ,law ,Etching (microfabrication) ,Electrical and Electronic Engineering ,Reactive-ion etching ,Platinum ,Critical dimension ,Layer (electronics) - Abstract
We have developed a concave-type Pt electrode capacitor to overcome the limitations of the conventional stack-type capacitor in a small critical dimension pattern. We deposited a Pt layer on the concave-type structure made by the patterning of SiO2 and subsequently separated the adjacent nodes by the etch-back process with a photoresist as a protecting layer. We summarize the issues regarding patterning in the reactive ion etching system for fabrication of a concave-type capacitor.
- Published
- 2003
- Full Text
- View/download PDF
19. High-rate Ru electrode etching using O2/Cl2 inductively coupled plasma
- Author
-
Hyoun Woo Kim, Byong-Sun Ju, and Chang-Jin Kang
- Subjects
Scanning electron microscope ,Chemistry ,Analytical chemistry ,Plasma ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Transmission electron microscopy ,Etching ,Electrode ,Wafer ,Electrical and Electronic Engineering ,Reactive-ion etching ,Inductively coupled plasma - Abstract
We have studied the characteristics of Ru etching using O2/Cl2 plasmas in an inductively coupled plasma (ICP) etcher. The changes of Ru etch rates and Ru electrode etching slopes by varying Cl2/(O2 + Cl2) gas flow ratio, total flow rate, source power, bias power, and pressure were investigated. A high Ru etch rate of > 1400 A/min with a high etching slope of > 85° was demonstrated using 0.15 µm critical dimension (CD) patterned wafers. The mechanism of high-rate Ru etching is studied.
- Published
- 2003
- Full Text
- View/download PDF
20. A study on the Pt electrode etching for 0.15 μm technologies
- Author
-
Hyoun-Woo Kim, Chang-Jin Kang, Byong-Sun Ju, and Joo-Tae Moon
- Subjects
Materials science ,business.industry ,chemistry.chemical_element ,Plasma ,Condensed Matter Physics ,Oxygen ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,chemistry ,Etching (microfabrication) ,law ,Electrode ,Optoelectronics ,Electrical and Electronic Engineering ,Reactive-ion etching ,business ,Platinum ,Critical dimension - Abstract
We have developed a high temperature Pt etching by using a titanium (Ti) mask layer in oxygen (O2)-containing plasma. The high temperature Pt etching technique has been employed to fabricate a stacked capacitor with a critical dimension (CD) of 0.15 µm. Due to reactive ion etching (RIE) lag, the Pt etch rate decreased drastically below the CD of 0.20 µm and, thus, the storage node electrode with the CD of 0.15 µm could not be fabricated. Accordingly, we have proposed novel techniques to surmount the above difficulties.
- Published
- 2003
- Full Text
- View/download PDF
21. Study of Ru etching using O2/Cl2 helicon plasmas
- Author
-
Chang Jin Kang, Joo Tae Moon, Byong Sun Ju, Jae Hyun Han, and Hyoun Woo Kim
- Subjects
Plasma etching ,Materials science ,Mechanical Engineering ,fungi ,technology, industry, and agriculture ,Analytical chemistry ,chemistry.chemical_element ,macromolecular substances ,Condensed Matter Physics ,Chamber pressure ,Ruthenium ,Helicon ,stomatognathic system ,Transition metal ,Etch pit density ,chemistry ,Mechanics of Materials ,Etching (microfabrication) ,General Materials Science ,Wafer - Abstract
We have investigated the characteristics of ruthenium (Ru) etching using O 2 /Cl 2 helicon plasmas, resulting in the high Ru etch profile (>85°) and the optimal etch rate (>500 A min −1 ). We revealed that the chamber pressure greatly affects the Ru etch rate and Ru to mask etch selectivity. The dependence of Re etch rate on pressure was scrutinized for both patterned and non-patterned wafers.
- Published
- 2002
- Full Text
- View/download PDF
22. Aspect ratio dependent plasma-induced charging damage in rf precleaning of a metal contact
- Author
-
Chang-Jin Kang, Jong Hoon Kim, Y. J. Kim, T. H. Ahn, J. T. Moon, W. J. Park, and K. S. Shin
- Subjects
Fabrication ,Materials science ,Aspect ratio ,business.industry ,technology, industry, and agriculture ,Nanotechnology ,Surfaces and Interfaces ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Stress (mechanics) ,Semiconductor ,Gate oxide ,Phase (matter) ,Wafer ,Dry etching ,Composite material ,business - Abstract
As the packing density increases in the fabrication of semiconductor chips, the aspect ratio and the critical dimension (CD) of a metal contact are exponentially aggravated in dry etch processing. The aspect ratio dependency of plasma-induced charging damage during the rf precleaning of a metal contact has been evaluated with a two-dimensional Monte Carlo simulation and with related experiments. From the simulation of a metal contact opened on a gate metal, it is found that the potential on a metal contact bottom, which is directly related to plasma-induced charging damage, is saturated near an aspect ratio of 4 after initially linearly increasing with the aspect ratio. However, the linear decrease of CD of a metal contact exponentially increases the potential stress on the gate oxide. These simulation results are confirmed with the two different experiments, an in situ charge-up monitoring and the electrical test of fully fabricated complementary metal–oxide–semiconductor wafers. A phase controlled induc...
- Published
- 2001
- Full Text
- View/download PDF
23. Issues of contact etching and pre-treatment in Schottky contact
- Author
-
Hak-sun Lee, Woo-Sung Han, Chang-Jin Kang, Nammyun Cho, Kyoung-sub Shin, Joo-Tae Moon, and Gyung-jin Min
- Subjects
Materials science ,Silicon ,Schottky barrier ,Metallurgy ,Contact resistance ,Metals and Alloys ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Etching (microfabrication) ,Silicide ,Materials Chemistry ,Fluorine ,Boron ,Fluoride - Abstract
This paper reports on the process dependence of contact resistance of silicide/n+ Si and silicide/p+ Si contact. Three processes such as contact etching, Si treatment and pre-treatment are investigated with contact resistance point of view. Only silicide/p+ Si contact resistance has been changed as etching time of contact increases while silicide/n+ Si contact resistance has been regularly maintained. We have modeled that fluorine used in contact etching can scavenge or deactivate boron in p+ Si, resulting in degradation of silicide/p+ Si contact resistance. In order to confirm the model, two different gases (hydro carbon fluoride/carbon fluoride) during Si treatment right after contact etching were applied. As a result, the silicide/p+ Si contact resistance was increased in carbon fluoride case, which has higher fluorine ratio to carbon than hydro carbon fluoride case. It is also observed that the silicide/p+ Si contact resistance was increased proportionally with time of fluorine-based pre-treatment before silicide formation.
- Published
- 2009
- Full Text
- View/download PDF
24. High temperature platinum etching using Ti mask layer
- Author
-
Won Jong Yoo, Joo-Tae Moon, Tae-Hyuk Ahn, Byeong-Yun Nam, Moonyong Lee, Byong-Sun Ju, Kim Hyoun-Woo, and Chang-Jin Kang
- Subjects
Materials science ,business.industry ,fungi ,technology, industry, and agriculture ,Analytical chemistry ,Surfaces and Interfaces ,Substrate (electronics) ,Condensed Matter Physics ,Isotropic etching ,Surfaces, Coatings and Films ,stomatognathic system ,Sputtering ,Etching (microfabrication) ,Optoelectronics ,Wafer ,Dry etching ,Reactive-ion etching ,business ,Layer (electronics) - Abstract
Platinum is a strong candidate for an electrode material of the high dielectric capacitors in highly integrated dynamic random access memory devices. However, it is extremely difficult to etch the fine patterns of Pt due to an inherently low etch slope. This characteristic comes from the physical sputtering nature of the Pt etching process. This article reveals that the Pt etching profile depends on the change of the Ti mask layer caused by the increase of wafer temperature during etching. The Pt etching slope of 80° in 0.40 μm pitch was attained by heating the wafer substrate up to 220° with plasma-on. From the transmission electron microscopy analysis the Ti mask is considered to be deformed to TiOx layer in oxygen plasma at high wafer temperature, elevated either by high electrode temperature or plasma irradiation.
- Published
- 1999
- Full Text
- View/download PDF
25. Characteristics of self bias voltage and poly-Si etching in pulsed helicon wave plasma
- Author
-
Joo-Tae Moon, Chang-Jin Kang, Jung-Hyung Kim, and Tae-Hyuk Ahn
- Subjects
Plasma etching ,Chemistry ,business.industry ,Metals and Alloys ,Analytical chemistry ,Biasing ,High voltage ,Surfaces and Interfaces ,Plasma ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Helicon ,Etching (microfabrication) ,Materials Chemistry ,Optoelectronics ,Wafer ,Dry etching ,business - Abstract
Characteristics of the plasma and the poly-Si etching in a pulse modulated helicon wave plasma were studied. The self bias voltage induced on the wafer by r.f. bias of 300 kHz was directly measured with a Pt wafer by high voltage probe. Even though the r.f. bias frequency of 300 kHz was less than the ion plasma frequency, it was observed that the self bias voltage was induced, which contradicts the conventional thoughts. In the off period of the source power in the pulse mode, the weak plasma was sustained only by the r.f. bias power. This weak plasma in the pulse mode induced higher self bias voltage compared with the continuous mode because the self bias voltage decreased as the plasma density increased. The pulse modulated helicon wave plasma with low frequency r.f. bias effectively eliminated the local side etching but enhanced the resist erosion due to higher self bias voltage. The Si etch rate and the selectivity of Si to photo-resist were enhanced by adjusting the duty ratio.
- Published
- 1999
- Full Text
- View/download PDF
26. Study of ashing for low-k dielectrics using the N2/O2 ferrite-core inductively coupled plasmas
- Author
-
Chung Gon Yoo, Hyoun Woo Kim, Sang Don Choi, Kwang Hyuk Ko, Je Ho Woo, Chang Jin Kang, J. G. Lee, Nam Ho Kim, Han Sup Lee, Chin-Wook Chung, Dae Kyu Choi, Ju Hyun Myung, Se-Geun Park, and Wan Jae Park
- Subjects
Plasma etching ,Chemistry ,Metals and Alloys ,Analytical chemistry ,Infrared spectroscopy ,Low-k dielectric ,Surfaces and Interfaces ,Dielectric ,Photoresist ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ashing ,Materials Chemistry ,Inductively coupled plasma ,Fourier transform infrared spectroscopy - Abstract
We have studied the characteristics of photoresist (PR) ashing using N 2 /O 2 plasmas in ferrite-core inductively coupled plasma etcher. By varying the O 2 /(O 2 + N 2 ) gas flow ratio, we have changed the PR ash rate and the low-k material etch rate, obtaining the PR ash rate and the PR to low-k materials etch selectivity, respectively, of 15,000 A/min and 180. Fourier transform infrared spectroscopy and HF etch test coincidentally indicated that the ash damage to the low-k material decreased with decreasing the O 2 /(O 2 + N 2 ) gas flow ratio.
- Published
- 2006
- Full Text
- View/download PDF
27. The Healthy for Life Taekwondo Pilot Study: A Preliminary Evaluation of Effects on Executive Function and BMI, Feasibility, and Acceptability
- Author
-
Kimberley D. Lakes, Nimrah Salim, Natasha Emmerson, Tracy Bryars, Chang Jin Kang, Swetha Sirisinahal, Doug Wong, Daniel Kang, Sara Arastoo, and Lois Shim
- Subjects
self-regulation ,medicine.medical_specialty ,Taekwondo ,self-control ,media_common.quotation_subject ,Physical fitness ,Standard score ,Article ,Physical education ,Medicine and Health Sciences ,medicine ,Cognitive skill ,Curriculum ,Applied Psychology ,media_common ,Martial arts ,exercise ,business.industry ,Cognition ,Self-control ,attention ,Psychiatry and Mental health ,martial arts ,executive function ,Physical therapy ,business ,Psychology - Abstract
There is growing consensus that exercise improves cognitive functioning, but research is needed to identify exercise interventions that optimize effects on cognition. The objective of this pilot study was to evaluate Taekwondo implemented in public middle school physical education (PE). Two classes were randomly assigned to either: five sessions per week of PE or three sessions of PE and two sessions of Taekwondo. In PE sessions, evidence-based curriculum to address the Presidential Core Fitness Guidelines and California Physical Fitness Tests was implemented. Taekwondo sessions included traditional techniques and forms taught in an environment emphasizing respect and self-control. Sixty students were evaluated at baseline and during the last week of the intervention (nine months later). Differences in mean residualized change scores for parent-rated inhibitory behavioral control yielded a significant, large effect size (d =.95, p =.00), reflecting greater improvement among Taekwondo students. Results from an executive function computer-administered task revealed greater accuracy on the congruent trial (d = 2.00, p = .02) for Taekwondo students. Differences in mean residualized change scores for BMI z scores yielded a moderate, non-significant effect size (d = − .51, p = .16). The majority of Taekwondo students reported positive perceptions of Taekwondo and perceived self-improvement in self-control and physical fitness. Results suggest that Taekwondo is an exercise program that improves cognitive functioning and is both feasible and acceptable to implement in a public school setting.
- Published
- 2013
28. DRAM Static Refresh Weak Cell Characterization and Structure Analysis
- Author
-
Seok Sik Kim, Yong Ho Yoo, Tae Jung Park, Seok-Woo Nam, Gyo Young Jin, Chang-Jin Kang, Jin Choi, Juhyeon Ahn, Sung Ho Lee, and Joo-young Lee
- Subjects
Materials science ,Structure analysis ,business.industry ,Optoelectronics ,business ,Dram ,Characterization (materials science) - Abstract
Data retention characteristic is one of the most critical issues in low power DRAMs because it determines idle currents of self-refresh operation. Compared to normal healthy cells, a few ppm orders of cells in a tail distribution have much higher leakage currents. The origin of the leaky cells (so called weak cells or tail cells) has been quite arguable for the past decades [1, 2], but it should be scrutinized in order to achieve long data retention time. In this paper, we have thoroughly investigated the behavior of the retention weak cells using a newly generated combination program and TEM analysis so as to discover and explain their origins
- Published
- 2011
- Full Text
- View/download PDF
29. Investigation of ultra thin polycrystalline silicon channel for vertical NAND flash
- Author
-
Ki-Hyun Hwang, Toshiro Nakanishi, Sang-Ryol Yang, Dong Woo Kim, Chang-Jin Kang, Yongsun Ko, Bio Kim, Hanmei Choi, Seung-Hyun Lim, and Jae-Young Ahn
- Subjects
Materials science ,Silicon ,business.industry ,Electrical engineering ,chemistry.chemical_element ,NAND gate ,engineering.material ,Flash memory ,Grain size ,Flash (photography) ,Polycrystalline silicon ,chemistry ,Thin-film transistor ,engineering ,Optoelectronics ,Grain boundary ,business - Abstract
We have investigated thin film transistors (TFTs) with ultra-thin polycrystalline silicon (poly-Si) of 77 A – 185 A. The TFT charge transfer characteristics such as ON current and effective mobility are dominated not by the thickness itself but by the grain size of poly-Si channel. When the poly-Si channel thickness is decreased with the same grain size, the sub-threshold TFT characteristics are improved without degradation of ON current and reliability properties. These results give us appropriate criteria to establish an excellent poly-Si channel in vertical NAND flash memory.
- Published
- 2011
- Full Text
- View/download PDF
30. Fine calibration of physical resist models: the importance of Jones pupil, laser bandwidth, mask error and CD metrology for accurate modeling at advanced lithographic nodes
- Author
-
Thomas Schmöller, Young-Chang Kim, Bernd Küchler, John Lewellen, Seung-Hune Yang, Eun-Ju Kim, Chang-Jin Kang, Seongho Moon, Ulrich Klostermann, Junghoon Ser, Sooryong Lee, Seong-Woon Choi, and Artem Shamsuarov
- Subjects
Optics ,Resist ,Computer science ,business.industry ,law ,Bandwidth (signal processing) ,Calibration ,business ,Laser ,Lithography ,Immersion lithography ,Metrology ,law.invention - Abstract
In this paper, we discuss the accuracy of resist model calibration under various aspects. The study is done based on an extensive OPC dataset including hundreds of CD values obtained with immersion lithography for the sub-30 nm node. We address imaging aspects such as the role of Jones matrices, laser bandwidth and mask bias. Besides we focus on the investigation on metrology effects arising from SEM charging and uncertainty between SEM image and feature topography. For theses individual contributions we perform a series of resist model calibrations to determine their importance in terms of relative RMSE (Root Mean Square Error) and it is found that for the sub-30 nm node they all are not negligible for accurate resist model calibration.
- Published
- 2011
- Full Text
- View/download PDF
31. Hotspot fixing using ILT
- Author
-
Tom Cecil, Chang-Jin Kang, Hyun-Jong Lee, Junghoon Ser, Christopher Ashton, David Kim, Xin Zhou, Guangming Xiao, Sung-Gon Jung, Donghwan Son, Seong-Woon Choi, Woojoo Sim, David Irby, and Sungsoo Suh
- Subjects
Computer science ,Hotspot (geology) ,Real-time computing ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,Hardware_PERFORMANCEANDRELIABILITY ,Remainder ,Integrated circuit layout ,Lithography ,Algorithm - Abstract
For low k1 lithography the resolution of critical patterns on large designs can require advanced resolution enhancement techniques for masks including scattering bars, complicated mask edge segmentation and placement, etc. Often only a portion of a large layout will need this sophisticated mask design (the hotspot), with the remainder of layout being relatively simple for OPC methods to correct. In this paper we show how inverse lithography technology (ILT) can be used to correct selected regions of a large design after standard OPC has been used to correct the simple portions of the layout. The hotspot approach allows a computationally intensive ILT to be used in a limited way to correct the most difficult portions of a design. We will discuss the most important issues such as: model matching between ILT and OPC corrections; transition region corrections near the ILT and OPC boundary region; mask complexity; total combined runtime. We will show both simulated and actual wafer lithographic improvements in the hotspot regions.
- Published
- 2011
- Full Text
- View/download PDF
32. A highly manufacturable integration technology for 27nm 2 and 3bit/cell NAND flash memory
- Author
-
Jeong-Hyuk Choi, Choong-ho Lee, Kwang-Shik Shin, Dong-Hoon Jang, Kang-Deog Suh, Chang-Jin Kang, Eungjin Ahn, Sung-Soon Cho, Jong-Hyuk Kim, Se-Hoon Lee, Keon-Soo Kim, Jung-Dal Choi, Min-Sung Song, Seungwook Choi, Se-Jun Park, Kyung-Hoon Min, Suk-Kang Sung, Hyun-Chul Baek, Jin-Hyun Shin, and Tae-Sung Jung
- Subjects
Flash (photography) ,Engineering ,Hardware_MEMORYSTRUCTURES ,Logic synthesis ,Reliability (semiconductor) ,business.industry ,Logic gate ,Electronic engineering ,Multiple patterning ,Overhead (computing) ,business ,Flash memory ,Communication channel - Abstract
A highly manufacturable multi-level NAND flash memory with a 27nm design rule has been successfully developed for the first time. Its unit cell size is 0.00375um2 (with overhead). Self Aligned Reverse Patterning is used to improve initial Vth distribution induced from DPT (Double Patterning Technology) process. By using advanced channel doping technique, the channel junction leakage is minimized and the Vpass window is improved. The optimized doping structure and cell operation scheme are evaluated. And finally 2 and 3bit per cell operation are successfully demonstrated with flash cells of 32Gb density with reasonable reliability.
- Published
- 2010
- Full Text
- View/download PDF
33. New method to determine process window considering pattern failure
- Author
-
Sung-Woon Choi, Chang-Jin Kang, Seongho Moon, Seung-Hune Yang, Young-Chang Kim, and Junghoon Ser
- Subjects
business.industry ,Image quality ,Lift (data mining) ,Hot spot (veterinary medicine) ,Structural engineering ,law.invention ,Duty cycle ,law ,Metric (mathematics) ,Process window ,Photolithography ,business ,Lithography ,Mathematics - Abstract
In this paper, new metric, acid concentration distribution image log slope (AILS) is suggested to predict pattern failure in photo lithography. By introducing AILS, pattern fidelity can be determined as numbers. With evaluating at the top 10% and bottom 10% of photo resist, various kinds of pattern failures are categorized and they can be predicted to be failed or not. The simulation results are compared with wafer experiment results and shows great prediction accuracy. In order to evaluate hot spot regarding pattern failure in all possible pitch and duty ratio, in-house image quality analysis tool is used and compared with wafer experimental results. Minimum normalized AILS (NAILS) to cause pattern bridge is larger than that to cause lift off. Both pattern failures are dependent of AILS and CD but the effect of CD on pattern failure is stronger than AILS's
- Published
- 2010
- Full Text
- View/download PDF
34. Characterization of novel SiO2/a-Si/a-SiOx tunnel barrier engineered oxide
- Author
-
Bon-young Koo, Jae-Young Ahn, Sang-Ryol Yang, Sungkweon Baek, Si-Young Choi, Chang-Jin Kang, Ki-Hyun Hwang, and Joo-Tae Moon
- Subjects
Amorphous silicon ,Hardware_MEMORYSTRUCTURES ,Materials science ,business.industry ,Band gap ,Electrical engineering ,Oxide ,NAND gate ,Dielectric ,Flash memory ,Non-volatile memory ,chemistry.chemical_compound ,chemistry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,business ,Hardware_LOGICDESIGN ,Photonic crystal - Abstract
We suggested the heterogeneously stacked oxide (HSO) for the future tunnel oxide of high density NAND flash memory. HSO has a structure of SiO 2 /a-Si/a-SiOx using the concept of tunnel barrier engineering. By employing HSO tunnel barrier, it was possible to fabricate the tunnel oxide, which is thicker physically and thinner electrically than the single layer tunnel oxide. The bandgap of a-SiOx can be modified, which made it possible to achieve tunnel barrier engineering without employing high-k material. By reducing the erase voltage, the reliabilities of NAND flash memory was improved.
- Published
- 2010
- Full Text
- View/download PDF
35. Characteristics of Inter Poly Dielectric (IPD) Prepared by Plasma Oxidation Treatment of LP-CVD SiO2 Film
- Author
-
Hun-Hyoung Leam, Tae-Hyuk Ahn, Woo-Sung Lee, Hyun Namkoong, Seok-Woo Nam, Chang-Jin Kang, Yong-Seok Kim, Byong-hyun Jang, and Jung-Hwan Kim
- Subjects
Materials science ,business.industry ,Oxide ,Analytical chemistry ,Equivalent oxide thickness ,Dielectric ,Plasma ,Nitride ,Microbiology ,X-ray reflectivity ,chemistry.chemical_compound ,chemistry ,Surface roughness ,Optoelectronics ,Breakdown voltage ,business - Abstract
To improve the IPD reliability of NAND flash memory, plasma oxidation was introduced as the post-treatment process of ONO (Oxide/Nitride/Oxide) IPD. The LP-CVD SiO2 modified by plasma oxidation showed the excellent electrical properties. e.g., low leakage current, high breakdown voltage etc. By the analysis of Tof-SIMS and XRR, we could observe the several changes of physical characteristics such as the reduction of impurities (H, N etc.), the increase of oxide density, and the improvement of oxide surface roughness. We found out the appropriate treatment condition to be able to densify oxide layer without the addition of ONO Equivalent Oxide Thickness (EOT). The LP-CVD SiO2 prepared by plasma oxidation was used for the ONO IPD of 50nm NAND flash device and also compared with the conventional LP-CVD SiO2 in the aspect of the IPD reliability.
- Published
- 2008
- Full Text
- View/download PDF
36. Properties of aluminium oxide films prepared by plasma-enhanced metal-organic chemical vapour deposition
- Author
-
Chang Jin Kang, Wong Jong Lee, and John S. Chun
- Subjects
Hydrogen ,Silicon ,Metals and Alloys ,Mineralogy ,chemistry.chemical_element ,Surfaces and Interfaces ,Chemical vapor deposition ,Combustion chemical vapor deposition ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Materials Chemistry ,Aluminium oxide ,Trimethylaluminium ,Deposition (chemistry) - Abstract
Aluminium oxide films were deposited on silicon substrates at low temperatures (150–300 °C) by plasma-enhanced chemical vapour deposition using trimethylaluminium, N 2 O and helium gases. The film properties including chemical composition, depth profile, microstructure, refractive index and step coverage were investigated, and the dependence of the film properties and deposition rate on the deposition temperature were also studied. The deposition rates of aluminium oxide films deposited at 150 and 170 °C are very high, reaching 320–400 A min −1 . On the contrary, above 200 °C the deposition rates are constant at 160 A min −1 . The film deposited at 150 °C has an amorphous structure and those above 250 °C have an extremely fine γ-alumina crystalline structure. Hydrogen is the only impurity detected in the aluminium oxide film and its concentration increases as the deposition temperature decreases. The film deposited at 250 °C exhibits a very smooth surface and fairly conformal step coverage. The temperature dependence of the deposition rate and hydrogen content is explained by the change in adsorption type and desorption rate of reactants with temperature.
- Published
- 1990
- Full Text
- View/download PDF
37. Hot-spot detection and correction using full-chip based process window analysis
- Author
-
Sang-Wook Kim, Sung-Soo Suh, Young-Chang Kim, Suk-Joo Lee, Jung-Hyeon Lee, Chang-Jin Kang, and Joo-Tae Moon
- Subjects
Optics ,Materials science ,business.industry ,Hot spot (veterinary medicine) ,Process window ,business ,Chip - Published
- 2007
- Full Text
- View/download PDF
38. Selective process aware OPC for memory device
- Author
-
Sungsoo Suh, Suk-Joo Lee, Tae-Hyuk Ahn, Robert Lugg, Woo-Seok Shim, Jung-Hyeon Lee, Chang-Jin Kang, Seok-Hwan Oh, Sooryong Lee, and Frank Amoroso
- Subjects
Engineering drawing ,Space technology ,Engineering ,business.industry ,Process (computing) ,Hardware_PERFORMANCEANDRELIABILITY ,Overlay ,Optical proximity correction ,Margin (machine learning) ,Computer data storage ,Hardware_INTEGRATEDCIRCUITS ,Multiple patterning ,Process window ,business ,Computer hardware - Abstract
Many issues need to be overcome in creating a production-worthy sub-k1 (
- Published
- 2007
- Full Text
- View/download PDF
39. Stray-light implementation in optical proximity correction (OPC)
- Author
-
Kunal N. Taravade, Sungsoo Suh, Young-Chang Kim, Insung Kim, Sooryong Lee, Jung-Hyeon Lee, Chang-Jin Kang, Suk-Joo Lee, Sang-Wook Kim, Yong-Jin Chun, Joo-Tae Moon, and Dong-Hyun Kim
- Subjects
Diffraction ,Micrometre ,Engineering ,Optics ,Optical proximity correction ,business.industry ,Stray light ,Limit (music) ,Proximity effect (audio) ,Millimeter ,business ,Lithography - Abstract
It is suggested that stray-light (SL, also called flare, scattered light) impact can be compensated by modifying standard OPC method. Compared to traditional optical proximity effect caused by diffraction limit, stray light leads to extremely long range (~ 100 micrometer ~ 10 millimeter) proximity effect. Appropriate approximation is introduced for stray-light implemented OPC in such a large scale. This paper also addresses other practical problems in the stray-light OPC and presents how to solve the problems.
- Published
- 2007
- Full Text
- View/download PDF
40. Merged contact OPC using pattern type specific modeling and correction
- Author
-
Young-Chang Kim, Sungsoo Suh, Suk-Joo Lee, Chang-Jin Kang, Jung-Hyeon Lee, and Sang-Wook Kim
- Subjects
Engineering ,Iterative method ,business.industry ,Skew ,Contact type ,Set (abstract data type) ,Optical proximity correction ,Polygon ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Node (circuits) ,business ,Algorithm ,Aerial image - Abstract
Traditional approach to model based optical proximity correction method is to collect a set of 1-D and 2-D test pattern data, calibrate a scalar or vector model at constant or variable threshold and modify the physical layout to obtain the desired layout. Optical proximity corrected layout is obtained by minimizing the error between the target and the printed image iteratively using a calibrated single model to generate a simulated print image of mask pattern of variety of field polarity. A similar approach can be extended to incorporate the final silicon image using a lumped model or tandem photo-resist development and etch process models. Recently, some have begun to incorporate differing models at specific regions of the layout. The basic underlying assumption of a model-based OPC requires one to generate a simulated contour that provides close approximation of wafer image using a calibrated model. During iterative OPC procedure, not all of the regions of OPC polygons are simulated. That is, sparse sampling of each polygon is performed to reduce the number of error calculations required and such calculation points are referred to as an evaluation site. A careful selection of sampling site must be performed to capture optical proximity effect and obtain the desired OPC. In this paper, utilization of multiples models to generate contour to accurately define the 2D pattern locally, and implementation of its models throughout the layout is presented in order to improve accuracy of variety of contact pattern types present in a layout. Hence, the basic concept is to apply differing models at localized region and achieve greater OPC accuracy than a single calibrated model. In particular, a target layout may contain a contact and bar-type structures for the purpose of device fabrication process step simplifications. Essentially, two different pattern types need to be OPCed, and in order to perform model based OPC on such a layout, a model for each contact type is generated separately using a best-fit adaptive search method of optical illumination conditions, aerial image diffusion parameter and double Gaussian mask loading terms as a main regression parameters. As it terms out, it is difficult to generate a single model that calibrates to both the contact and bar-type structures and a distinct shift in empirically calibrated threshold levels exists, and a preferred method is to generate models suited for contact and bar-type structures separately in order to improve the model and OPC accuracy. However, each model type needs to be applied at specific locations of a pattern, and a proper OPC recipe for handling biasing of each pattern type is needed as well as correction scheme suitable for each pattern type is required. In this paper, we describe an OPC methodology for merged direct contact layout using a proposed pattern specific modeling and correction technique, and the experimental results indicate that this methodology provides ADI 3s target skew value of 14 nm and ACI 3σ target skew value of 17 nm on a 60 nm half pitch node.
- Published
- 2007
- Full Text
- View/download PDF
41. OPC in memory-device patterns using boundary layer model for 3-dimensional mask topographic effect
- Author
-
Sooryong Lee, Jung-Hyeon Lee, Sang-Wook Kim, Yongjin Cheon, Insung Kim, Suk-Joo Lee, Sungsoo Suh, Joo-Tae Moon, Jeong-Geun Park, Jonathan Cobb, Chang-Jin Kang, and Young-Chang Kim
- Subjects
Materials science ,business.industry ,Phase (waves) ,law.invention ,Boundary layer ,Optics ,Optical proximity correction ,law ,Computer data storage ,Errors-in-variables models ,Node (circuits) ,Photolithography ,business ,Lithography - Abstract
Boundary Layer Model (BLM) is applied to OPC for typical memory-device patterning processes for 3D mask topographic effect. It is observed that this BLM successfully accounts for the 3D mask effect as reducing OPC model error down to sub-50 nm node. BLM improves OPC-modeling accuracy depending on specific process conditions such as mask type and pattern geometry. Potential limit of BLM, i.e., how accurately BLM could predict the 3D mask effect is also investigated with respect to CD change: BLM also compared with rigorous simulation for various features and a good match is obtained as small as below 0.5 nm. Some practical issue in OPC modeling such as determination of the phase of boundary layer is addressed, which can be critical for prediction of defocus behavior.
- Published
- 2007
- Full Text
- View/download PDF
42. Real-time monitoring of plasma flickering in high pressure electronegative discharge
- Author
-
Kye Hyun Baek, Sungno Lee, Yong-jin Kim, Chang-Jin Kang, Joo-Tae Moon, Han-Ku Cho, Samhae Lee, Yeonhong Jee, and Jae-Wook Lee
- Subjects
business.industry ,Chemistry ,Semiconductor device fabrication ,High pressure ,Flicker ,Analytical chemistry ,Optoelectronics ,Wafer ,Plasma ,business ,Device failure ,Plasma stability ,Probable mechanism - Abstract
As plasma process is widely used for semiconductor fabrication, various kind of abnormal characteristics of the discharge is observed. In a high pressure discharge with electronegative gas, plasma flickering was observed and it was suspicious to cause a certain type of device failure. To understand the mechanism and to monitor its behavior according to chamber RF time, real-time monitoring using OES has been performed. Also, numerical algorithms to evaluate the degree of flickering was proposed and confirmed to be useful for monitoring wafer to wafer variation of the plasma status. A probable mechanism based on the electronegative discharge characteristics, was suggested and the plasma stability could be improved by following guidelines which was suggested through the understanding of the mechanism.
- Published
- 2006
- Full Text
- View/download PDF
43. Systematic method to optimize conditioning process through real time plasma monitoring
- Author
-
Joo Tae Moon, Han-Ku Cho, Chang Jin Kang, Kye Hyun Baek, Gyung Jin Min, and Yong-jin Kim
- Subjects
Electron resonance ,Chemistry ,business.industry ,Analytical chemistry ,Conditioning ,Optoelectronics ,Plasma diagnostics ,Plasma ,Optical emission spectroscopy ,Spectroscopy ,Real time analysis ,business ,Conditioning process - Abstract
A systematic method to optimize conditioning processes is introduced. By using the plasma monitoring tools such as self-excited electron resonance spectroscopy (SEERS) and optical emission spectroscopy (OES), chamber conditions are analyzed in real time and a conditioning process appropriate to each chamber condition is determined quickly. Through this real time analysis and rapid action, total time to optimize the conditioning process is significantly reduced
- Published
- 2005
- Full Text
- View/download PDF
44. Real-time process monitoring by optical emission spectroscopy in DRAM gate CD control
- Author
-
Chang-Jin Kang, Yong-jin Kim, Gyung-jin Min, Han-Ku Cho, and Joo-Tae Moon
- Subjects
Materials science ,Etching (microfabrication) ,law ,Logic gate ,Contact resistance ,Transistor ,Analytical chemistry ,Wafer ,Process variable ,Dram ,Volumetric flow rate ,law.invention - Abstract
As the DRAM gate CD is a critical parameter for contact resistance for self-aligned contact as well as transistor performance, CD control by change of process parameter such as O/sub 2/ flow rate is introduced to suppress the wafer-to-wafer and lot-to-lot CD variation. During the gate CD control by changing the O/sub 2/ flow rate, it is necessary to real time monitor the plasma status to determine whether the required result would be obtained or not. By using optical emission spectroscopy (OES) and multivariate analysis, process status index (PSI) has been derived. And it can be confirmed that PSI has strong correlation with the O/sub 2/ flow rate and CD skew (= post etch CD - pre etch CD) as well. This idea was applied for monitoring mass wafers in a gate mask etch chamber. And process drift as well as first wafer effect could be observed.
- Published
- 2005
- Full Text
- View/download PDF
45. Charge-up damage of dual gate transistor during RF pre-cleaning of metal contact before barrier metal deposition
- Author
-
Ji-Soo Kim, Moon Yong Lee, Chang-Jin Kang, Wan-Jae Park, Joo-Tae Moon, Tae-Hyuk Ahn, and Kyoung-sub Shin
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Plasma-immersion ion implantation ,PMOS logic ,law.invention ,law ,Electrode ,MOSFET ,Optoelectronics ,Radio frequency ,business ,Metal gate ,NMOS logic - Abstract
The damage of dual gate (p-gate PMOS and n-gate NMOS) transistors during RF pre-cleaning of their metal contacts before barrier metal deposition has been investigated in logic devices by varying the aspect ratio of metal contacts and RF source power. With higher aspect ratios and a higher source power for RF pre-cleaning, the gate leakage current of PMOS increases, while that of NMOS stays constant. We present a possible explanation for this difference in damage behaviour.
- Published
- 2003
- Full Text
- View/download PDF
46. Suppression of topography dependent charging using a phase-controlled pulsed inductively coupled plasma
- Author
-
Tae-Hyuk Ahn, Moonyong Lee, Ji-Soo Kim, Joo-Tae Moon, Chang-Jin Kang, Kyoung-sub Shin, and Wan-Jae Park
- Subjects
Plasma etching ,Materials science ,business.industry ,Phase (waves) ,Analytical chemistry ,Optoelectronics ,Wafer ,Inductively coupled plasma ,business ,Plasma processing ,Aspect ratio (image) ,Power (physics) ,Group delay and phase delay - Abstract
The topography dependent charging (TDC) potential on the bottom of an oxide contact is measured with an in-situ charge-up monitoring wafer during plasma processing. The effects of the contact aspect ratio and the bias power on the TDC are investigated from the potentials measured on that wafer. By analyzing the potentials, we correlate the TDC to the difference of the charging potentials between a shading and a blank probe. We can suppress TDC considerably using a phase-controlled pulsed inductively coupled plasma, especially when the phase delay of the bias power relative to the source power is near 180/spl deg/ (out-of-phase condition).
- Published
- 2003
- Full Text
- View/download PDF
47. Evaluation of plasma-induced charging damage on metal contact process
- Author
-
Kyoung-sub Shin, Joo-Tae Moon, Sang-In Lee, Wan-Jae Park, Chang-Jin Kang, Tae-Hyuk Ahn, and Ji-Soo Kim
- Subjects
Contact process ,Materials science ,business.industry ,Analytical chemistry ,Plasma ,Physics::Plasma Physics ,Gate oxide ,MOSFET ,Optoelectronics ,Wafer ,Inductively coupled plasma ,business ,NMOS logic ,Group delay and phase delay - Abstract
The evaluation of plasma-induced charging damage in a metal contact process has been studied with a two dimensional Monte-Carlo simulation and related experiments. From the simulation, it is concluded that the linear shrinkage of the design rule possibly evokes exponential plasma-induced charging damage on the gate oxide during the plasma process. We also confirmed the simulation results with the two different experiments, in-situ charge-up monitoring wafers and fully fabricated test wafers. A phase-controlled pulsed inductively coupled plasma is proposed to suppress the plasma-induced charging damage. Preliminary results show that charging damage is strongly suppressed when the phase delay of the bias power to the source power is near to 180 degrees.
- Published
- 2003
- Full Text
- View/download PDF
48. The influence of substrate junctions on notch formation in the etching of storage stack polysilicon
- Author
-
H.-S. Jeong, Joo Tae Moon, Chang-Jin Kang, Moonyong Lee, and Kyoung-sub Shin
- Subjects
Materials science ,Silicon ,business.industry ,Polysilicon depletion effect ,Oxide ,chemistry.chemical_element ,Dielectric thin films ,Ion trajectory ,Ion ,chemistry.chemical_compound ,chemistry ,Electronic engineering ,Optoelectronics ,Reactive-ion etching ,business - Abstract
Notch formation during storage stack polysilicon etching depending on the various substrate junctions can be explained theoretically by the effect of charge flow through the p-n junctions. The ion charge flow through the junctions made the stack polysilicon potential lower, compared to that of the bottom oxide layer, and the notch was formed by the greater ion trajectory deflection.
- Published
- 2002
- Full Text
- View/download PDF
49. A process technology for 1 giga-bit DRAM
- Author
-
Chang-Jin Kang, Junekyun Park, Kwan-Heum Lee, Junha Lee, Jae-Hak Kim, Jin-Hyon Lee, Cheol Seong Hwang, Yongjik Park, R.J.G. Lee, K-C. Lee, D.H. Ko, Kangjung Kim, Byeong-hee Roh, J-H. Park, and Byeung-Chul Kim
- Subjects
Materials science ,business.industry ,Electrical engineering ,Process (computing) ,Dielectric ,law.invention ,Giga ,Capacitor ,Stack (abstract data type) ,law ,Gigabit ,Shallow trench isolation ,Hardware_INTEGRATEDCIRCUITS ,business ,Dram - Abstract
In this paper, we present a giga bit density DRAM technology based on the state-of-the-art technologies. A DRAM with 1 giga bit density design rule is fabricated featuring Shallow Trench Isolation (STI), TiSi/sub x/ gate, Self-Aligned Contact (SAG), and simple stack capacitor cell using (Ba,Sr)TiO/sub 3/ (BST) as a dielectric material. A reliable and highly manufacturable process is established which satisfies the stringent requirement for the next generation memory devices such as 1 Gbit DRAM and beyond.
- Published
- 2002
- Full Text
- View/download PDF
50. Application of N2/Ar inductively coupled plasma → the photoresist ashing for low-k dielectrics
- Author
-
Jaegab Lee, Wan Jae Park, Dae-Kyu Choi, Kee Won Suh, Ju Hyun Myung, Chang-Jin Kang, Chin-Wook Chung, Sung Kyeong Kim, Nam Ho Kim, Hyoun Woo Kim, Se-Geun Park, and Chung-Gon Yoo
- Subjects
Materials science ,Mechanical Engineering ,Analytical chemistry ,Low-k dielectric ,Dielectric ,Photoresist ,law.invention ,Ashing ,Mechanics of Materials ,law ,Solid mechanics ,General Materials Science ,Inductively coupled plasma ,Photolithography - Published
- 2005
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.