27 results on '"Celia Nicolet"'
Search Results
2. Sequential Infiltration of Self-Assembled Block Copolymers: A Study by Atomic Force Microscopy
- Author
-
Matteo Lorenzoni, Francesc Pérez-Murano, Marta Fernández-Regúlez, Celia Nicolet, Christophe Navarro, and Laura Evangelio
- Subjects
Materials science ,Modulus ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,01 natural sciences ,chemistry.chemical_compound ,medicine ,Copolymer ,Physical and Theoretical Chemistry ,Methyl methacrylate ,Nanoscopic scale ,Stiffness ,021001 nanoscience & nanotechnology ,medicine.disease ,0104 chemical sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Stiffening ,General Energy ,Template ,chemistry ,Chemical engineering ,medicine.symptom ,0210 nano-technology ,Infiltration (medical) - Abstract
Sequential infiltration synthesis (SIS), when combined with novel polymeric materials capable of self-assembly, such as block copolymers (BCPs), has been shown to effectively improve the pattern transfer of nanoscale templates. Herein, we present a study of the SIS process aimed at elucidating some critical aspects such as the evolution of the BCP morphology and mechanical properties after infiltration. Atomic force microscopy nanomechanical mapping was able to measure a consistent stiffness change within the SIS-infiltrated poly(methyl methacrylate) (PMMA) blocks. Interestingly, the increase in Young’s modulus of the infiltrated blocks is small compared to the final stiffening of the same infiltrated features after a treatment with oxygen plasma.
- Published
- 2017
- Full Text
- View/download PDF
3. Evaluation of adhesion layers performances for soft UV nanoimprint lithography
- Author
-
Christophe Navarro, F. Delachat, Laurent Pain, Xavier Chevalier, Celia Nicolet, and Hubert Teyssedre
- Subjects
Materials science ,business.industry ,Scanning electron microscope ,Adhesion ,Surface finish ,Nanoimprint lithography ,law.invention ,Contact angle ,Resist ,law ,Optoelectronics ,Wafer ,Thin film ,business - Abstract
In this work, an evaluation of various adhesion promoters (or primers) for soft ultra-violet (UV) nanoimprint lithography (NIL) is reported. The evaluation is performed using 200 mm wafer scale in the HERCULES® NIL equipment platform available at the CEA-Leti. First, surface energies of the primers are determined through contact angle measurements. Next, atomic force microscope (AFM) measurements were carried out to evaluate the surface uniformity and roughness of the primed wafers. Thin film thickness measurements were performed by spectroscopic ellipsometry in order to select the most promising primer processes for high resolution etch mask and permanent applications. Afterwards, the adhesion layer performances of the selected primer processes were evaluated by an imprint test using a dedicated patterned master (critical dimension down to 30 nm and aspect ratios up to 1.5). Optical and scanning electron microscope (SEM) defect reviews were systematically performed. This evaluation enabled to benchmark several adhesion promotor solutions based on the grafted technology developed by ARKEMA in order to identify an efficient adhesive layer compatible with various NIL resists and substrates, such as silicon based materials or glass.
- Published
- 2019
- Full Text
- View/download PDF
4. An embedded neutral layer for advanced surface affinity control in grapho-epitaxy directed self-assembly
- Author
-
Nicolas Posseme, Christophe Navarro, Laurent Pain, Guillaume Claveau, F. Delachat, Ahmed Gharbi, Antoine Fouquet, Patricia Pimenta-Barros, Ian Cayrefourcq, Raluca Tiron, and Celia Nicolet
- Subjects
Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,010309 optics ,Semiconductor ,Stack (abstract data type) ,Etching (microfabrication) ,0103 physical sciences ,Optoelectronics ,General Materials Science ,Wafer ,0210 nano-technology ,business ,Layer (electronics) ,Critical dimension ,Immersion lithography - Abstract
Advanced surface affinity control for grapho-epitaxy directed self-assembly (DSA) patterning is essential for providing reliable DSA-based solutions for the development of semiconductor patterning. Independent control of surface affinity between the bottom and the sidewalls of a topographical guiding structure was achieved by embedding an ultrathin layer in the guiding template stack. The implementation of an embedded layer with tunable surface properties for DSA grapho-epitaxy was evaluated and optimized on 300 mm wafers by critical dimension SEM characterization. It was demonstrated that a thin protective layer, placed between the hard mask guiding template and the embedded layer, allows the preservation of the surface properties of the embedded layer during guiding template etching. The DSA performances of this novel grapho-epitaxy integration, using a topographical template patterned with 193 nm immersion lithography, were evaluated by monitoring the success rate and the critical dimension uniformity of the shrunk contacts. FIB-STEM analyses were further carried out to analyze the residual polymer thickness on the resulting contacts. This new integration leads to the control of the polymer residual thickness (a few nanometers) and uniformity (inferior to 1 nm) at the bottom of the guiding template which will facilitate the subsequent DSA pattern transfer.
- Published
- 2018
5. Advanced Formulation for DSA Resists
- Author
-
Christophe Navarro, Julien Beausoleil, Darron Jurajda, Nick Brakensiek, Celia Nicolet, Xavier Chevalier, John Berron, Kaumba Sakavuyi, and Ian Cayrefourcq
- Subjects
Directed self assembly ,Materials science ,Polymers and Plastics ,Organic Chemistry ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Resist ,Materials Chemistry ,Copolymer ,Composite material ,0210 nano-technology - Published
- 2016
- Full Text
- View/download PDF
6. Inorganic guiding template implementation for DSA contact hole shrink process (Conference Presentation)
- Author
-
Ahmed Gharbi, Christophe Navarro, Celia Nicolet, Patricia Pimenta-Barros, Raluca Tiron, Ian Cayrefourcq, F. Delachat, Laurent Pain, Céline Lapeyre, Maxime Argoud, and G. Chamiot-Maitral
- Subjects
Materials science ,CMOS ,Resist ,business.industry ,Chemical-mechanical planarization ,Optoelectronics ,Wafer ,Process optimization ,business ,Silicon oxide ,Contact hole ,Critical dimension - Abstract
CH (Contact hole) patterning by DSA (Directed Self-Assembly) of BCP (Block Copolymer) is still attracting interest from the semiconductor industry for its CH repair and pitch multiplication advantages in sub-7nm nodes. For several years, extensive studies on DSA CH patterning have been carried out and significant achievements have been reported in materials and process optimization, CMOS integration and design compatibility and advanced characterization [1-4]. According to these studies, if a common agreement was clearly made for the use of PS-b-PMMA material as a potential candidate for DSA CH patterning integration in advanced nodes, the associated guiding template material was not yet selected and is still under investigation. Whereas the most reported guiding template materials for DSA PS-b-PMMA CH patterning are organic-based (resist or organic hard mask), we propose in this work to investigate a DSA process based on inorganic template material (silicon oxide based). Indeed, this latter offers some advantages over organic template: better surface affinity control, higher thermal stability during BCP self-assembly annealing, easier 3D-morphology imaging of DSA patterns and the possibility of wafer rework after the DSA step. The inorganic template based DSA process was first optimized using the planarization approach [5]. We demonstrated that the silicon oxide thickness should be properly adjusted to allow a good control of the BCP thickness over different guiding template densities. Afterwards, we compared the DSA performances (critical dimension: CD; CD uniformity: CDU, contact misalignment and defectivity) between both inorganic and organic template approaches. Equivalent results were obtained as shown in Figure 1. Finally, we demonstrated that inorganic template allows the rework of DSA wafers: similar CD and CDU for both guiding and DSA patterns were obtained after 3 cycles of rework (Figure 2).
- Published
- 2018
- Full Text
- View/download PDF
7. Evaluation of anti-sticking layers performances for 200mm wafer scale smart NILTM process through surface and defectivity characterizations
- Author
-
Celia Nicolet, Christophe Navarro, Xavier Chevalier, Frank Fournel, Jean-Côme Phillipe, F. Delachat, Ian Cayrefourcq, Sandra Bos, Hubert Teyssedre, and Vincent Larrey
- Subjects
Materials science ,Fabrication ,Atomic force microscopy ,Scale (chemistry) ,Benchmark (computing) ,Process (computing) ,High resolution ,Nanotechnology ,Wafer - Abstract
In this work, an evaluation of various ASL processes for 200 mm wafer scale in the HERCULES® NIL equipment platform available at the CEA-Leti through the INSPIRE program is reported. The surface and adherence energies were correlated to the AFM and defectivity results in order to select the most promising ASL process for high resolution etch mask applications. The ASL performances of the selected process were evaluated by multiple working stamp fabrication using unpatterned and patterned masters though defectivity monitoring on optical based-inspection tools. Optical and SEM defect reviews were systematically performed. Multiple working stamps fabrication without degradation of the master defectivity was witnessed. This evaluation enabled to benchmark several ASL solutions based on the grafted technology develop by ARKEMA in order to reduce and optimize the soft stamp defectivity prior to its replication and therefore considerably reduce the final imprint defectivity for the Smart NIL process.
- Published
- 2018
- Full Text
- View/download PDF
8. A track process for solvent annealing of high-χ BCPs
- Author
-
Douglas J. Guerrero, Kaumba Sakavuyi, Kui Xu, Harold Stokes, Isabelle Servin, Xavier Chevalier, Laurent Pain, Ahmed Gharbi, Masahiko Harumoto, Guillaume Claveau, Raluca Tiron, and Celia Nicolet
- Subjects
chemistry.chemical_classification ,Materials science ,Silicon ,Annealing (metallurgy) ,Kinetics ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Polymer ,Surface finish ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Solvent ,chemistry ,Copolymer ,0210 nano-technology ,Glass transition - Abstract
High chi organic lamellar-forming block copolymers were prepared with 18 nm intrinsic period Lo value. The BCPs were coated on a neutral layer on silicon substrates and were either thermally annealed or exposed to solvent vapors both in a 300mm track. The effect of lowering the glass transition temperature (Tg) on the high chi BCP was investigated. Process temperatures and times were varied. It was found that the BCP having lower Tg exhibits faster kinetics and is able to reach alignment in a shorter time than a similar BCP having higher Tg. Fingerprint defect analysis also shows that the BCP with lower Tg has lower defects. The results show that fingerprint formation can be achieved with either ether or ester type solvents depending on the BCP used. The results show that a track process for solvent annealing of high-χ BCPs is feasible and could provide the path forward for incorporation of BCP in future nodes. Finally, directed self-assembly was demonstrated by implemented high chi polymers on a graphoepitaxy test vehicles. CD and line width roughness was evaluated on patterns with a multiplication factor up to 7.
- Published
- 2017
- Full Text
- View/download PDF
9. Advanced surface affinity control for DSA contact hole shrink applications
- Author
-
Jerome Hazart, Christophe Navarro, Sandra Bos, Ahmed Gharbi, C. Monget, Xavier Chevalier, F. Delachat, Maxime Argoud, Ian Cayrefourcq, Laurent Pain, Céline Lapeyre, Patricia Pimenta Barros, Celia Nicolet, and Raluca Tiron
- Subjects
chemistry.chemical_classification ,Yield (engineering) ,Materials science ,business.industry ,Nanotechnology ,02 engineering and technology ,Polymer ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,chemistry ,0103 physical sciences ,Scanning transmission electron microscopy ,Optoelectronics ,Wafer ,0210 nano-technology ,business ,Contact area ,Lithography ,Critical dimension ,Immersion lithography - Abstract
DSA patterning is a promising solution for advanced lithography as a complementary technique to standard and future lithographic technologies. In this work, we focused on DSA grapho-epitaxy process-flow dedicated for contact hole applications using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers. We investigated the impact on the DSA performances of the surface affinity of a guiding pattern design by ArF immersion lithography. The objective was to control and reduce the polymer residue at the bottom of the guiding pattern cavities since it can lead to lower a DSA-related defectivity after subsequent transfer of the DSA pattern. For this purpose, the DSA performances were evaluated as a function of the template surface affinity properties. The surface affinities were customized to enhance DSA performances for a PS-b-PMMA block copolymer (intrinsic period 35nm, cylindrical morphology) by monitoring three main key parameters: the hole open yield (HOY), the critical dimension uniformity (CDU-3σ) and the placement error (PE-3σ). Scanning transmission electron microscopy (STEM) was conjointly carried out on the optimized wafers to characterize the residual polymer thickness after PMMA removal. The best DSA process performances (i.e., hole open yield: 100%, CDU-3σ: 1.3nm and PE-3σ: 1.3nm) were achieved with a thickness polymer residue of 7 nm. In addition, the DSA-related defectivity investigation performed by review-SEM enabled us to achieve a dense (pitch 120nm) contact area superior to 0.01mm2 free of DSA-related defects. This result represents more than 6x105 SEM-inspected valid contacts, attesting the progress achieved over the last years and witnessing the maturity of the DSA in the case of contact holes shrink application.
- Published
- 2017
- Full Text
- View/download PDF
10. Recent Achievements in sub-10 nm DSA lithography for Line/Space patterning
- Author
-
Mary Ann Hockey, Guillaume Fleury, Laura Evangelio, Raluca Tiron, Ahmed Gharbi, Muhammad Waseem Mumtaz, Kui Xu, Christophe Navarro, Ian Cayrefourcq, Georges Hadziioannou, Fumi Ariura, Marc Zelsmann, Xavier Chevalier, Antoine Legrain, Marta Fernández-Regúlez, Francesc Pérez-Murano, Celia Nicolet, Laurent Pain, Groupement de recherches de Lacq (GRL), Arkema (Arkema), Arkema (ARKEMA), BREWER Sci Inc, Rolla, MO 65401 USA, BREWER Sci Inc, Laboratoire de Chimie des Polymères Organiques (LCPO), Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Instituto de Microelectrònica de Barcelona (IMB-CNM), Centro Nacional de Microelectronica [Spain] (CNM)-Consejo Superior de Investigaciones Científicas [Madrid] (CSIC), Arkema, Colombes, European Project: 621277,EC:FP7:SP1-JTI,ENIAC-2013-2,PLACYD(2014), Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Clot, Marielle, ARKEMA FRANCE, Laboratoire de Chimie des polymères organiques (LCPO), Centre National de la Recherche Scientifique (CNRS)-École Nationale Supérieure de Chimie et de Physique de Bordeaux (ENSCPB)-Université Sciences et Technologies - Bordeaux 1-Institut de Chimie du CNRS (INC), SOITEC, and Université Sciences et Technologies - Bordeaux 1 (UB)-École Nationale Supérieure de Chimie et de Physique de Bordeaux (ENSCPB)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Directed self assembly ,[PHYS]Physics [physics] ,Materials science ,Polymers and Plastics ,Organic Chemistry ,Nanotechnology ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Space (mathematics) ,01 natural sciences ,[PHYS] Physics [physics] ,0104 chemical sciences ,Materials Chemistry ,Multiple patterning ,Line (text file) ,0210 nano-technology ,Lithography ,ComputingMilieux_MISCELLANEOUS ,Next-generation lithography - Abstract
International audience; Silicon-containing and modified PS-b-PMMA high-χ block copolymers materials were produced to achieve lamellar mesostructure as low as 14 nm intrinsic period (L$_0$) and ordered by graphoepitaxy or chemoepitaxy processes. Line Edge Roughness (LER) measurements of 2.5 nm (3 σ) can be extracted from CD-SEM pictures of poly [(1,1-dimethylsilacyclobutane)-b-styrene] after etching step. Materials integrations on a 300 mm track process are highlighted. In fingerprint, new BCPs LWR L/S values are 1.5/1.1 nm in comparison to a graphoepitaxy flow where the LWR L/S values are 2.0/1.1 nm. Alternative methods to create high-resolution guiding patterns for directed self-assembly of block co-polymers and the scale-up to obtain industrial BCPs meeting electronic requirement are also reported.
- Published
- 2017
- Full Text
- View/download PDF
11. Design of new block-copolymer systems to achieve thick-films with defect-free structures for applications of DSA into lithographic large nodes
- Author
-
Guillaume Fleury, Ian Cayrefourcq, John Berron, Ahmed Gharbi, Celia Nicolet, Xavier Chevalier, Paul Coupillaud, Geoffrey Lombard, Julien Beausoleil, Raluca Tiron, Gilles Cunge, Philippe Bézard, Marc Zelsmann, Kaumba Sakavuyi, Christophe Navarro, Georges Hadziioannou, Groupement de recherches de Lacq (GRL), Arkema (Arkema), Laboratoire de Chimie des Polymères Organiques (LCPO), Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), Team 4 LCPO : Polymer Materials for Electronic, Energy, Information and Communication Technologies, Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), MINATEC, CNRS, LTM, Minatec Grenoble (CEA/ INP Grenoble), BREWER Sci Inc, Rolla, MO 65401 USA, BREWER Sci Inc, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), ARKEMA FRANCE, Rue Estienne Orves, Colombes, Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Laboratoire des technologies de la microélectronique (LTM ), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])
- Subjects
Directed self assembly ,Early introduction ,Materials science ,Defect free ,Nanotechnology ,02 engineering and technology ,Orientation (graph theory) ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,[CHIM.POLY]Chemical Sciences/Polymers ,Perpendicular ,Copolymer ,Tomography ,ORIENTATION ,0210 nano-technology ,Lithography - Abstract
Conference, San Jose CA, Feb 22-25, 2016. Sponsor(s) : SPIE; Tokyo Ohka Kogyo Co; International audience; Properties of new block copolymers systems, specifically designed to reach large periods for the features, are compared to the ones exhibited by classical PS-b-PMMA materials of same dimensions. Conducted studies, like free-surface defects analysis, mild-plasma tomography experiments, graphoepitaxy-guided structures, etch-transfer. indicate much better performances, in terms of achievable film-thicknesses with perpendicular features, defects levels, and dimensional uniformities, for the new system than for the classical PS-b-PMMA. These results clearly highlight unique and original solutions toward an early introduction of DSA technology into large lithographic nodes.
- Published
- 2016
- Full Text
- View/download PDF
12. Nanomechanical properties of solvent cast PS and PMMA polymer blends and block co-polymers
- Author
-
Matteo Lorenzoni, Christophe Navarro, Álvaro San Paulo, Francesc X. Perez Murano, Laura Evangelio, and Celia Nicolet
- Subjects
chemistry.chemical_classification ,Solvent ,chemistry.chemical_compound ,Materials science ,chemistry ,Dewetting ,Polymer ,Polystyrene ,Thin film ,Composite material ,Methyl methacrylate ,Layer (electronics) ,Elastic modulus - Abstract
The nanomechanical properties of solvent cast polymer thin films have been investigated using PeakForce TM quantitative nanomechanical mapping. The samples consisted in films of polystyrene and poly(methyl methacrylate) obtained after dewetting of toluene solution on a polymeric brush layer. As a second step, we have probed the mechanical properties of Poly(styrenen- methilmethacrylate) (PS-b-PMMA) block co-polymers (BCP) thin films randomly oriented. The measured films has a critical thickness below 50 nm and present features to be resolved of less than 42 nm. Measured surface elastic modulus obtained present a good agreement with previous literature and show how PeakForce technique could be crucial to BCP investigation predicting the mechanical stability of the different phases.
- Published
- 2015
- Full Text
- View/download PDF
13. DSA planarization approach to solve pattern density issue
- Author
-
Sébastien Barnola, Raluca Tiron, P. Pimenta Barros, Christophe Navarro, C. Lapeyre, Aurelien Sarrazin, Xavier Chevalier, Guillaume Claveau, Nicolas Posseme, Sandra Bos, C. Tallaron, Celia Nicolet, Ahmed Gharbi, C. Monget, M. Argoud, and Isabelle Servin
- Subjects
Computer science ,Etching (microfabrication) ,Chemical-mechanical planarization ,Etching ,Process (computing) ,Copolymer ,Electronic engineering ,Nanotechnology ,Critical dimension - Abstract
Directed Self-Assembly (DSA) of Block Copolymers (BCP) is one of the most promising solutions for sub-10 nm nodes. However, some challenges need to be addressed for a complete adoption of DSA in manufacturing such as achieving DSA-friendly design, low defectivity and accurate pattern placement. In this paper, we propose to discuss the DSA integration flows using graphoepitaxy for contact-hole patterning application. DSA process dependence on guiding pattern density has been studied and solved thanks to a new approach called “DSA planarization”. The capabilities of this new approach have been evaluated in terms of defectivity, Critical Dimension (CD) control and uniformity before and after DSA etching transfer.
- Published
- 2015
- Full Text
- View/download PDF
14. Nanomechanical properties of solvent cast polystyrene and poly(methyl methacrylate) polymer blends and self-assembled block copolymers
- Author
-
Christophe Navarro, Álvaro San Paulo, Matteo Lorenzoni, Francesc Pérez-Murano, Celia Nicolet, Gemma Rius, Laura Evangelio, Ministerio de Ciencia e Innovación (España), and European Commission
- Subjects
chemistry.chemical_classification ,Materials science ,Mechanical Engineering ,Polymer ,Condensed Matter Physics ,Methacrylate ,Poly(methyl methacrylate) ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,visual_art ,Polymer chemistry ,visual_art.visual_art_medium ,Copolymer ,Polystyrene ,Dewetting ,Polymer blend ,Electrical and Electronic Engineering ,Methyl methacrylate - Abstract
© 2015 Society of Photo-Optical Instrumentation Engineers (SPIE). The nanomechanical properties of solvent-cast polymer thin films have been investigated using PeakForce™ Quantitative Nanomechanical Mapping. The samples consisted of films of polystyrene (PS) and poly(methyl methacrylate) (PMMA) obtained after the dewetting of toluene solution on a polymeric brush layer. Additionally, we have probed the mechanical properties of poly(styrene-b-methyl methacrylate) block copolymers (BCP) as randomly oriented thin films. The probed films have a critical thickness, This work was partially funded by the projects SNM (FP7-ICT-2011-8) and FORCE-for-FUTURE (CSD2010-00024).
- Published
- 2015
15. Contact holes patterning by directed self-assembly of block copolymers: What would be the Bossung plot?
- Author
-
Sébastien Barnola, Ahmed Gharbi, Xavier Chevalier, Maxime Argoud, G. Hadziioannou, Raluca Tiron, Guillaume Fleury, Jerome Belledent, Christophe Navarro, P. Pimenta Barros, Jonathan Pradelles, and Celia Nicolet
- Subjects
Spin coating ,Materials science ,business.industry ,Annealing (metallurgy) ,engineering.material ,Molecular physics ,Optics ,Coating ,engineering ,Copolymer ,Wafer ,Process window ,Self-assembly ,business ,Critical dimension - Abstract
Contact hole (CH) patterning by directed-self-assembly (DSA) of polystyrene-b-polymethylmethacrylate (PS-b-PMMA) block copolymers (BCPs) is extensively studied in this paper. Based on statistical analysis performed on 300mm wafers, a process window (PW) for CH shrink is experimentally evaluated in terms of hole open yield and critical dimension (CD) variation after DSA as a function of BCPs of different natural periods and guiding patterns of different dimensions. The PW allowed us to define the suitable BCP molecular weight with the best guiding CD ranges required to achieve a desired DSA hole CD within a specific tolerance. As example, for a DSA hole CD targeted at 19.5 nm with 10% tolerance, circular guiding patterns of 52 nm CD with 20% guiding CD latitude are needed using a 35nm-natural-period BCP. It is also shown that the CH shrink PW is dependent on guiding pattern pitch and on DSA process conditions such as the self-assembly annealing and spin coating conditions. In addition, the study highlights an interesting property of commensurability between guiding pattern dimensions and BCP’s natural period that governs the CH patterning by DSA for both CH shrink and CH doubling configurations. This permits to predict the guiding pattern dimensions needed for CH patterning by DSA using a given BCP of known natural period.
- Published
- 2014
- Full Text
- View/download PDF
16. Self-assembly of high-resolutions PS-b-PMMA block-copolymers: processes capabilities and integration on 300mm track
- Author
-
Christophe Navarro, Maxime Argoud, G. Chamiot-Maitral, Guillaume Fleury, Ahmed Gharbi, Karine Jullian, G. Hadziioannou, Raluca Tiron, Celia Nicolet, J.-L. Peyre, Xavier Chevalier, R. Van Spaandonk, and P. Pimenta-Barros
- Subjects
Materials science ,business.industry ,Track (disk drive) ,Copolymer ,Microelectronics ,Nanotechnology ,Process optimization ,Self-assembly ,business ,Contact hole ,Lithography - Abstract
Careful control and reproducibility of BCP’s synthesis are mandatory parameters to push-down PS-b-PMMA block-copolymer systems toward its lowest dimensions for microelectronic applications. The self-assembly process optimization of different high-resolution cylindrical PS-b-PMMA block-copolymers (i.e. L0 period below 25 nm) is studied to highlight processes-variations as regard to more classical PS-b-PMMA systems while the characterizations of bulk materials provide deeper insights on the parameters addressing the self-assembly of such materials. The integration of a high-resolution BCP on 300 mm track is then studied to check the capabilities of such materials in terms of lithographic applications. CD uniformity measurements in contact hole shrink approach, as well as the transfer of the BCP mask into typical industrial under-layer stacks leading to aggressive features, show that these materials exhibit promising potentials for advanced lithographic nodes.
- Published
- 2014
- Full Text
- View/download PDF
17. Etch challenges for DSA implementation in CMOS via patterning
- Author
-
Raluca Tiron, Sébastien Barnola, Christophe Navarro, Celia Nicolet, P. Pimenta Barros, Isabelle Servin, E. Martinez, Ahmed Gharbi, Maxime Argoud, Xavier Chevalier, C. Monget, and C. Lapeyre
- Subjects
Back end of line ,Materials science ,CMOS ,Resist ,Etching (microfabrication) ,business.industry ,Copolymer ,Optoelectronics ,Lamellar structure ,Nanotechnology ,Dry etching ,business ,Layer (electronics) - Abstract
T his paper reports on the etch challenges to overcome for the implementation of PS -b-PMMA block c oSRO\PHU¶VDirected Self -Assembly (DSA ) in CMOS via patterning level . Our process is based on a graphoepitaxy approach , employing an industrial PS -b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology . The process consists in the following step s: a) DSA of block copolymers inside guiding patterns, b) PMMA remov al, c) brush layer opening and finally d ) PS pattern transfer into typical MEOL or BEOL stacks . All results presented here KDYHEHHQSHUIRUPHGRQWKH'6$/HWL¶V PPSLORWOLQH The f irst etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block . In our process baseline, a n acetic acid treatment is carried out to develop PMMA domains . However, this wet development has shown some limitations in te rms of resists compatibility and will not be appropriated for lamellar BCP s. That is why we also investigate the possibility to remove PMMA by only dry etching . In this work the potential of a dry PMMA removal by using CO based chemistries is shown and com pared to wet development . The advantages and limitations of each approach are reported . The second crucial step is the etching of brush layer (PS -r-PMMA ) through a PS mask . We have optimize d this step in order to preserve the PS patterns in terms of CD , holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrink ing by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching . Keyw ords: block copolymer, PS -b-PMMA, contact -hole shrink, PMMA removal, CMOS integration , etching
- Published
- 2014
- Full Text
- View/download PDF
18. 300mm pilot line DSA contact hole process stability
- Author
-
Sébastien Barnola, Christophe Navarro, Maxime Argoud, Guillaume Fleury, Karine Jullian, M. Sanche, Ahmed Gharbi, G. Hadziioannou, Charles Pieczulewski, Raluca Tiron, Masaya Asai, Xavier Chevalier, Isabelle Servin, G. Chamiot-Maitral, Celia Nicolet, and P. Pimenta Barros
- Subjects
Semiconductor ,Materials science ,business.industry ,Process (computing) ,Optoelectronics ,Nanotechnology ,Process window ,Process optimization ,Wafer ,Surface finish ,Edge (geometry) ,business ,Lithography - Abstract
Directed Self-Assembly (DSA) is today a credible alternative lithographic technology for semiconductor industry [1]. In the coming years, DSA integration could be a standard complementary step with other lithographic techniques (193nm immersion, e-beam, extreme ultraviolet). Its main advantages are a high pattern resolution (down to 10nm), a capability to decrease an initial pattern edge roughness [2], an absorption of pattern guide size variation, no requirement of a high-resolution mask and can use standard fab-equipment (tracks and etch tools). The potential of DSA must next be confirmed viable for high volume manufacturing. Developments are necessary to transfer this technology on 300mm wafers in order to demonstrate semiconductor fab-compatibility [3-7]. The challenges concern especially the stability, both uniformity and defectivity, of the entire process, including tools and Blok Co-Polymer (BCP) materials. To investigate the DSA process stability, a 300mm pilot line with DSA dedicated track (SOKUDO DUO) is used at CEALeti. BCP morphologies with PMMA cylinders in a PS matrix are investigated (about 35nm natural period). BCP selfassembly in unpatterned surface and patterned surface (graphoepitaxy) configurations are considered in this study. Unpatterned configuration will initially be used for process optimization and fix a process of record. Secondly, this process of record will be monitored with a follow-up in order to validate its stability. Steps optimization will be applied to patterned surface configurations (graphoepitaxy) for contact hole patterning application. A process window of contact hole shrink process will be defined. Process stability (CD uniformity and defectivity related to BCP lithography) will be investigated.
- Published
- 2014
- Full Text
- View/download PDF
19. Crystallization-Driven Enhancement in Photovoltaic Performance through Block Copolymer Incorporation into P3HT:PCBM Blends
- Author
-
Cyril Brochon, Fabrice Cousin, Dargie Hailu Deribew, Georges Hadziioannou, Sébastien Jun Mougnier, Celia Nicolet, Eleni Pavlopoulou, Guillaume Fleury, C. Renaud, Eric Cloutet, Laurence Vignau, Mark Geoghegan, Giuseppe Portale, Laboratoire de Chimie des Polymères Organiques (LCPO), Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), TEAM 4 LCPO, Université de Bordeaux (UB)-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), Laboratoire de l'intégration, du matériau au système (IMS), Université Sciences et Technologies - Bordeaux 1-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), Laboratoire Léon Brillouin (LLB - UMR 12), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Paris-Saclay, NWO DUBBLE CRG (ESRF, Netherlands Org Sci Res), European Synchrotron Radiation Facility (ESRF), Department of Physics and Astronomy [Sheffield], University of Sheffield [Sheffield], Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Team 4 LCPO : Polymer Materials for Electronic, Energy, Information and Communication Technologies, Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Université Sciences et Technologies - Bordeaux 1 (UB)-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Paris-Saclay-Centre National de la Recherche Scientifique (CNRS), Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), and Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC)
- Subjects
Materials science ,Polymers and Plastics ,Absorption spectroscopy ,BULK-HETEROJUNCTION ,02 engineering and technology ,010402 general chemistry ,01 natural sciences ,Polymer solar cell ,law.invention ,Inorganic Chemistry ,Crystallinity ,HIGH-EFFICIENCY ,FIELD-EFFECT MOBILITY ,law ,Microscopy ,Polymer chemistry ,HETEROJUNCTION SOLAR-CELLS ,Materials Chemistry ,Copolymer ,CHARGE-TRANSPORT ,Crystallization ,Thin film ,NANOSCALE MORPHOLOGY ,GRIGNARD METATHESIS ,Organic Chemistry ,021001 nanoscience & nanotechnology ,0104 chemical sciences ,MOLECULAR-WEIGHT ,[CHIM.POLY]Chemical Sciences/Polymers ,Chemical engineering ,Neutron reflectometry ,0210 nano-technology ,PHASE-SEPARATION ,ROD-COIL - Abstract
International audience; We report the increased crystallization of poly(3-hexylthiophene) (P3HT) in the donor-acceptor mixture of [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) with P3HT by the addition of a block copolymer, P3HT-b-PI, where PI refers to polyisoprene. The photovoltaic performance of devices created using this blend is markedly improved by the addition of the diblock copolymer. We have characterized the structure of thin films of the P3HT-b-PI containing mixtures using optical microscopy, scanning force microscopy, UV-vis absorption spectroscopy, neutron reflectometry, and grazing incidence X-ray diffraction (GIXD). The GIXD data provide the information on the crystallinity of the films, the absorption data were used to confirm that the addition of the diblock was responsible for the increase in crystallization, neutron reflectometry data reveal a PCBM-rich region near the hole injection layer, and the two microscopy techniques revealed the structural effect of the crystallization at the surface of the films.
- Published
- 2013
- Full Text
- View/download PDF
20. Scaling-down lithographic dimensions with block-copolymer materials: 10-nm-sized features with poly(styrene)-block-poly(methylmethacrylate)
- Author
-
Christophe Navarro, Guillaume Fleury, Ahmed Gharbi, Celia Nicolet, Raluca Tiron, Michaël Delalande, Jonathan Pradelles, Xavier Chevalier, Georges Hadziioannou, Gilles Cunge, Maxime Argoud, Groupement de recherches de Lacq (GRL), Arkema (Arkema), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de Chimie des Polymères Organiques (LCPO), Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), Laboratoire des technologies de la microélectronique (LTM), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), Team 4 LCPO : Polymer Materials for Electronic, Energy, Information and Communication Technologies, Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS), and Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Nanotechnology ,02 engineering and technology ,01 natural sciences ,Styrene ,chemistry.chemical_compound ,block-copolymer ,0103 physical sciences ,Copolymer ,PS-b-PMMA ,Microelectronics ,Electrical and Electronic Engineering ,Thin film ,Lithography ,graphoepitaxy ,010302 applied physics ,chemistry.chemical_classification ,business.industry ,Mechanical Engineering ,Polymer ,self-assembly ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,[CHIM.POLY]Chemical Sciences/Polymers ,CMOS ,chemistry ,lithography ,Self-assembly ,0210 nano-technology ,business - Abstract
International audience; Poly(styrene)-block-poly(methylmethacrylate) (PS-b-PMMA) block-copolymers (BCP) systems synthesized on an industrial scale and satisfying microelectronic's requirements for metallic contents specifications are studied in terms of integration capabilities for lithographic applications. We demonstrate in particular that this kind of polymer can efficiently achieve periodic features close to 10 nm. These thin films can be transferred in various substrates through dry-etching techniques. The self-assembly optimization for each polymer is first performed on free-surface, leading to interesting properties, and the changes in self-assembly rules for low molecular-weight polymers are investigated and highlighted through different graphoepitaxy approaches. The improvements in self-assembly capabilities toward low periodic polymers, as well as the broad range of achievable feature sizes, make the PS-b-PMMA system very attractive for lithographic CMOS applications. We conclude by showing that high-chi polymer materials developed in Arkema's laboratories can be efficiently used to reduce the pattern's size beyond the ones of PS-b-PMMA based BCP's capabilities. (C) 2013 Society of Photo-Optical Instrumentation Engineers (SPIE)
- Published
- 2013
- Full Text
- View/download PDF
21. Process highlights to enhance directed self-assembly contact patterning performances
- Author
-
Ian Cayrefourcq, Antoine Fouquet, Christophe Navarro, Laurent Pain, Céline Lapeyre, Patricia Pimenta Barros, Ahmed Gharbi, Raluca Tiron, Sandra Bos, F. Delachat, Maxime Argoud, Xavier Chevalier, G. Chamiot-Maitral, Shayma Bouanani, and Celia Nicolet
- Subjects
010302 applied physics ,Directed self assembly ,Materials science ,Annealing (metallurgy) ,Mechanical Engineering ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Chemical-mechanical planarization ,0103 physical sciences ,Molecular self-assembly ,Self-assembly ,Electrical and Electronic Engineering ,Photolithography ,0210 nano-technology ,Material properties ,Lithography - Abstract
We focus on the directed self-assembly (DSA) for contact hole (CH) patterning application using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair, and multiplication, which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern density-related defects that are encountered with the commonly used graphoepitaxy process flow. Our study also aims to evaluate the DSA performances as functions of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE), and defectivity [hole open yield (HOY)]. Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable significant enhancement of CDU and PE. Regarding material properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0=35 nm), good DSA performances are achieved: CDU-3σ=1.2 nm, PE-3σ=1.2 nm, and HOY=100%. Finally, the stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks.
- Published
- 2016
- Full Text
- View/download PDF
22. Surface affinity role in graphoepitaxy of lamellar block copolymers
- Author
-
Xavier Chevalier, Aurelien Sarrazin, Guillaume Claveau, Maxime Argoud, Nicolas Posseme, Jérôme Hazart, Christophe Navarro, Patrick Quéméré, Patricia Pimenta Barros, Raluca Tiron, and Celia Nicolet
- Subjects
Fine-tuning ,Materials science ,Annealing (metallurgy) ,Nanotechnology ,02 engineering and technology ,Surface finish ,01 natural sciences ,law.invention ,010309 optics ,law ,0103 physical sciences ,Process optimization ,Lamellar structure ,Electrical and Electronic Engineering ,Lithography ,Immersion lithography ,010302 applied physics ,Mechanical Engineering ,Process (computing) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Line (geometry) ,Self-assembly ,Photolithography ,0210 nano-technology - Abstract
Overcoming the optical limitations of 193nm immersion lithography can be achieved using Directed Self Assembly (DSA) of block-copolymers (BCPs) as a low-cost and versatile complementary technique. The goal of this paper is to investigate the potential of DSA to address line and space (L/S) high resolution patterning by performing the density multiplication of lines with the graphoepitaxy approach. As surface affinity is a key parameter in self-assembly, three variations, or "flavors", of DSA template affinity are investigated regarding several success criteria such as morphology control or defectivity. More precisely, both the methodology to register DSA defects and the impact of process parameters on defectivity are detailed. Using the 300mm pilot line available in LETI and Arkema’s advanced materials, we investigate process optimization of DSA line/space patterning of a 38nm period lamellar PS-b-PMMA BCP (L38). For this study, our integration scheme, depicted in figure 2-1, is based on BCP self-assembly inside organic hard mask guiding patterns obtained using 193i nm lithography. Defect analysis coupled with the fine tuning of process parameters (annealing, brush material) provided the optimum conditions for the L38 self-assembly. Using such conditions, DSA using the three affinity flavors is investigated by means of SEM top-view and cross-section review. Lithographic performances of one selected flavor are then evaluated with the comparison of Process Windows (PWs) function of either commensurability, morphology or LWR. This work is a first step in finding the best process for an industrial graphoepitaxy approach.
- Published
- 2016
- Full Text
- View/download PDF
23. Optimization of the Bulk Heterojunction Composition for Enhanced Photovoltaic Properties: Correlation between the Molecular Weight of the Semiconducting Polymer and Device Performance
- Author
-
Henri Cramail, Cyril Brochon, Laurence Vignau, Eric Cloutet, Celia Nicolet, Dargie Hailu Deribew, Mark Geoghegan, Cedric Renaud, Guillaume Wantz, Guillaume Fleury, Georges Hadziioannou, Laboratoire de Chimie des Polymères Organiques (LCPO), Université de Bordeaux (UB)-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), TEAM 4 LCPO, Université de Bordeaux (UB)-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), Laboratoire de l'intégration, du matériau au système (IMS), Université Sciences et Technologies - Bordeaux 1-Institut Polytechnique de Bordeaux-Centre National de la Recherche Scientifique (CNRS), TEAM 2 LCPO, Department of Physics and Astronomy [Sheffield], University of Sheffield [Sheffield], Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), Team 4 LCPO : Polymer Materials for Electronic, Energy, Information and Communication Technologies, Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Université Sciences et Technologies - Bordeaux 1, and Team 2 LCPO : Biopolymers & Bio-sourced Polymers
- Subjects
Materials science ,FULLERENE SOLAR-CELLS ,02 engineering and technology ,010402 general chemistry ,7. Clean energy ,01 natural sciences ,BLENDS ,Polymer solar cell ,law.invention ,THIN-FILMS ,law ,Polymer chemistry ,Materials Chemistry ,CHARGE-TRANSPORT ,Physical and Theoretical Chemistry ,Thin film ,Crystallization ,Eutectic system ,Phase diagram ,GRIGNARD METATHESIS ,Open-circuit voltage ,REGIOREGULAR POLY(3-HEXYLTHIOPHENE) ,Heterojunction ,021001 nanoscience & nanotechnology ,0104 chemical sciences ,Surfaces, Coatings and Films ,Active layer ,BLOCK-COPOLYMERS ,OPEN-CIRCUIT VOLTAGE ,[CHIM.POLY]Chemical Sciences/Polymers ,Chemical engineering ,MORPHOLOGY ,GROWTH ,0210 nano-technology - Abstract
International audience; Herein we propose an approach toward the optimization of the photovoltaic performance of bulk heterojunctions by tuning the composition of the active layer with respect to the molecular weight of the semiconducting polymer. We used a poly(3-hexylthiophene):[6,6]-phenyl-C61-butyric acid methyl ester (P3HT:PCBM) blend as a typical system and varied the molecular weight of the P3HT semiconducting polymer in order to determine its influence on the bulk heterojunction morphology as well as on the optoelectronic characteristics of the device. We have systematically mapped out the phase diagram for different molecular weight P3HTs blended with PCBM and observed the presence of a eutectic composition, which shifts to higher content of P3HT for lower molecular weight P3HTs. This shift inherent to the P3HT molecular weight is also apparent in the photovoltaic performance as the eutectic composition corresponds to the best of the photovoltaic properties. The P3HT molecular weight dependence of the eutectic composition is due to the molecular weight dependence of the P3HT crystallization behavior, which leads to dramatic morphological changes of the bulk heterojunction.
- Published
- 2011
- Full Text
- View/download PDF
24. Mastering a Double Emulsion in a Simple Co-Flow Microfluidic to Generate Complex Polymersomes
- Author
-
Jean-François Le Meins, Celia Nicolet, Sébastien Lecommandoux, Adeline Perro, Julie Angly, Annie Colin, Laboratoire du Futur (LOF), Centre National de la Recherche Scientifique (CNRS)-RHODIA-Université Sciences et Technologies - Bordeaux 1-Institut de Chimie du CNRS (INC), Laboratoire de Chimie des Polymères Organiques (LCPO), Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC), Team 3 LCPO : Polymer Self-Assembly & Life Sciences, and Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut Polytechnique de Bordeaux-Ecole Nationale Supérieure de Chimie, de Biologie et de Physique (ENSCBP)-Université de Bordeaux (UB)-Institut de Chimie du CNRS (INC)
- Subjects
DYNAMICS ,Vinyl alcohol ,Polymers ,Microfluidics ,FABRICATION ,02 engineering and technology ,010402 general chemistry ,01 natural sciences ,VESICLES ,chemistry.chemical_compound ,Polymer chemistry ,Electrochemistry ,Copolymer ,General Materials Science ,Spectroscopy ,Coalescence (physics) ,Ethylene oxide ,Chemistry ,technology, industry, and agriculture ,Surfaces and Interfaces ,Microfluidic Analytical Techniques ,Models, Theoretical ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Silicon Dioxide ,0104 chemical sciences ,Volumetric flow rate ,[CHIM.POLY]Chemical Sciences/Polymers ,Chemical engineering ,Polymersome ,Emulsion ,Hydrodynamics ,Emulsions ,Glass ,0210 nano-technology - Abstract
International audience; We show that the production and the geometrical shape of complex polymersomes can be predicted by varying the flow rates of a simple microdevice using an empirical law which predicts the droplet size. This device is constituted of fused silica capillaries associated with adjusted tubing sleeves and T-junctions. Studying the effect of several experimental parameters, double emulsions containing a controlled number of droplets were fabricated. First, this study examines the stability of a jet in a simple confined microfluidic system, probing the conditions required for droplets production. Then, multicompartmental polymersomes were formed, controlling flow velocities. In this work, poly(dimethylsiloxane)-graft-poly(ethylene oxide) (PDMS-g-PEO) and poly(butadiene)-block-poly(ethyleneoxide) (PBut-b-PEO) amphiphilic copolymers were used and dissolved in chloroform/cyclohexane mixture. The ratio of these two solvents was adjusted in order to stabilize the double emulsion formation. The aqueous suspension contained poly(vinyl alcohol) (PVA), limiting the coalescence of the droplets. This work constitutes major progress in, the control of double emulsion formation in microfluidic devices and shows that complex structures can be obtained using such a process.
- Published
- 2011
- Full Text
- View/download PDF
25. PMMA removal options by wet development in PS-b-PMMA block copolymer for nanolithographic mask fabrication
- Author
-
Celia Nicolet, Xavier Chevalier, Christophe Navarro, Raluca Tiron, Maxime Argoud, Isabelle Servin, Ahmed Gharbi, and Patricia Pimenta Barros
- Subjects
chemistry.chemical_classification ,Materials science ,Silicon ,Scanning electron microscope ,Process Chemistry and Technology ,Analytical chemistry ,chemistry.chemical_element ,Substrate (electronics) ,Polymer ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ion implantation ,Nanolithography ,chemistry ,Chemical engineering ,Ellipsometry ,Etching (microfabrication) ,Materials Chemistry ,Electrical and Electronic Engineering ,Instrumentation - Abstract
In the integration flow of directed self-assembly with block copolymers (BCP), the selective removal of one phase of the polymer with respect to the other one is an important step. Different strategies can be implemented such as wet only, exposure, and wet or dry only, each one presenting its highlights and limitations. In this paper, the authors report a wet etching technique allowing an efficient removal of polymethylmethacrylate (PMMA) in PMMA cylinder-forming polystyrene-b-polymethylmethacrylate (PS-b-PMMA) BCP in order to generate contact holes. They confirm that exposure with ultraviolet (UV) light or electron beam is required for PMMA degradation before its complete removal by wet development in acetic acid. A dose-to-clear of 300 mJ/cm2 and 200 μC/cm2 using UV exposure and e-beam exposure, respectively, is demonstrated for a thin BCP film coated on a silicon substrate. Complementary characterizations are employed: by ellipsometry to monitor the BCP thickness, by scanning electron and atomic force microscopies to monitor the surface topography and precisely determine the dose-to-clear. It is also shown that this UV exposure dose is dependent on the materials stack used underneath the BCP film due to the radiation absorption. Furthermore, the authors have investigated the ion implantation in the BCP film with oxygen and hydrogen ions and it seems to be another alternative for PMMA degradation in the wet development approach.
- Published
- 2015
- Full Text
- View/download PDF
26. Contact holes patterning by directed self-assembly of block copolymers: process window study
- Author
-
Maxime Argoud, Christophe Navarro, Patricia Pimenta Barros, Ahmed Gharbi, Xavier Chevalier, Raluca Tiron, and Celia Nicolet
- Subjects
Spin coating ,Materials science ,business.industry ,Scanning electron microscope ,Annealing (metallurgy) ,Mechanical Engineering ,engineering.material ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Optics ,Coating ,law ,engineering ,Optoelectronics ,Process window ,Self-assembly ,Electrical and Electronic Engineering ,Photolithography ,business ,Lithography - Abstract
Contact hole (CH) patterning by directed self-assembly (DSA) of polystyrene-b-polymethylmethacrylate (PS-b-PMMA) block copolymers (BCPs) is extensively studied. Based on statistical analysis of defectivity and CD measurements after DSA, a process window (PW) for CH shrink is experimentally determined as a function of guiding pattern dimensions and BCP molecular weights corresponding to BCP natural periods. This PW permits to define the suitable BCP molecular weight and the best guiding CD ranges required to achieve a desired DSA hole CD within a specific tolerance. As an example, for a DSA hole CD targeted at 19.5 nm with 10% tolerance, circular guiding patterns of 52 nm CD with 20% guiding CD latitude are needed using a 35-nm-natural-period cylindrical BCP. Furthermore, it is shown that the CH shrink PW is also dependent on the guiding pattern density and the DSA process conditions such as the self-assembly annealing and the spin coating conditions. The study also highlights an interesting property of commensurability between guiding pattern dimensions and BCP’s natural period that governs the DSA CH patterning for both CH shrink and CH doubling configurations. This permits one to predict the guiding pattern dimensions needed for CH patterning by DSA using a given BCP of known natural period.
- Published
- 2015
- Full Text
- View/download PDF
27. Block copolymer line roughness measurements via PSD: application to fingerprint samples
- Author
-
Romain Jarnias, Patrick Quéméré, Charlotte Bouet, Aurélie Le Pennec, Christophe Navarro, Guido Rademaker, Celia Nicolet, Maxime Argoud, Jérôme Reche, and Raluca Tiron
- Subjects
Optics ,Materials science ,Fingerprint ,business.industry ,Block (telecommunications) ,Line (geometry) ,Copolymer ,Spectral density ,Surface finish ,Degree of polymerization ,business ,Edge detection - Abstract
This paper introduces line roughness characterization non-straight patterns made of block copolymers (fingerprint patterns). Line Width Roughness have been determined using Power Spectral Density based on a special edge detection developed at CEA-LETI to extract edges contours. We investigated several process parameters impact on LWR such as the degree of polymerization of different BCPs and the impact of UV irradiation on the roughness of the PS block.
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.