87 results on '"Alain Phommahaxay"'
Search Results
2. Process Complexity and Cost Considerations of Multi-Layer Die Stacks.
- Author
-
Dimitrios Velenis, Joeri De Vos, Soon-Wook Kim, Jaber Derakhshandeh, Pieter Bex, Giovanni Capuz, Samuel Suhard, Kenneth June Rebibis, Stefaan Van Huylenbroeck, Erik Jan Marinissen, Alain Phommahaxay, Andy Miller, Gerald Beyer, Geert Van der Plas, and Eric Beyne
- Published
- 2019
- Full Text
- View/download PDF
3. Importance of alignment control during permanent bonding and its impact on via-last alignment for high density 3D interconnects.
- Author
-
Joeri De Vos, Lan Peng, Alain Phommahaxay, Joost Van Ongeval, Andy Miller, Eric Beyne, Florian Kurz, Thomas Wagenleiter, Markus Wimplinger, and Thomas Uhrmann
- Published
- 2016
- Full Text
- View/download PDF
4. Overview of scalable transfer approaches to enable epitaxial 2D material integration
- Author
-
Steven Brems, Souvik Ghosh, Quentin Smets, Marie-Emmanuelle Boulon, Andries Boelen, Koen Kennes, Hung-Chieh Tsai, Francois Chancerel, Clement Merckling, Pieter-Jan Wyndaele, Jean-Francois De Marneffe, Tom Schram, Pawan Kumar, Stefanie Sergeant, Thomas Nuytten, Stefan De Gendt, Henry Medina Silva, Benjamin Groven, Pierre Morin, Gouri Sankar Kar, César Lockhart De la Rosa, Didit Yudistira, Joris Van Campenhout, Inge Asselberghs, and Alain Phommahaxay
- Published
- 2023
5. Application of the surface planer process to Cu pillars and wafer support tape for high-coplanarity wafer-level packaging
- Author
-
Fumihiro Inoue, Alain Phommahaxay, Yohei Gokita, Berthold Möller, and Eric Beyne
- Subjects
Control and Systems Engineering ,Mechanical Engineering ,Industrial and Manufacturing Engineering ,Software ,Computer Science Applications - Published
- 2022
6. Prevention of thinned wafer deformation during thermocompression bonding and multi-die stacking supported by temporary bonding materials
- Author
-
Alice Guerrero, Pieter Bex, Andrew M. Jones, Arthur Southard, Daojie Dong, Alain Phommahaxay, and Eric Beyne
- Abstract
Process flows for memory stacking or other heterogeneous integration schemes benefit from die bonding on a thinned silicon wafer 100 μm or less. In scenarios where a thinned device wafer contains features such as microbumps or Cu pillars, a carrier and temporary bonding material (TBM) facilitate the support of the fragile landing wafer during thermocompression bonding (TCB). The landing wafer in this case is vulnerable to deformations including loss of die planarity, Si bulging, Si or low k dielectric cracking, and damage to the underlying device wafer topography. In this paper, a dual layer system for temporary bonding is presented that maintains the integrity of a thinned device wafer during and after TCB. This is achieved with TBM materials which do not reflow at typical TCB conditions. The approach is to simulate TCB conditions which demonstrate the performance between different underlying TBM materials. A method which tracks the bond head z-axis over time during a TCB cycle is described which in turn yields information on the degree of temporary substrate deformation due to TCB force and temperature. The experiments include a worst-case scenario of multiple TCB cycles in the same position to mimic multi-die stacking. Finally, the impact of process conditions on Cu pillars with solder caps embedded in a thinned wafer bond line will be discussed.
- Published
- 2021
7. In-line metrology and inspection for process control during 3D stacking of IC's.
- Author
-
Sandip Halder, Ingrid De Wolf, Alain Phommahaxay, Andy Miller, Mireille Maenhoudt, Gerald Beyer, Bart Swinnen, and Eric Beyne
- Published
- 2011
- Full Text
- View/download PDF
8. Ultrathin wafer handling in 3D Stacked IC manufacturing combining a novel ZoneBOND™ temporary bonding process with room temperature peel debonding.
- Author
-
Alain Phommahaxay, Anne Jourdain, Greet Verbinnen, Tobias Woitke, Peter Bisson, Markus Gabriel, Walter Spiess, Alice Guerrero, Jeremy McCutcheon, Rama Puligadda, Pieter Bex, Axel Van den Eede, Bart Swinnen, Gerald Beyer, Andy Miller, and Eric Beyne
- Published
- 2011
- Full Text
- View/download PDF
9. 300mm wafer thinning and backside passivation compatibility with temporary wafer bonding for 3D stacked IC applications.
- Author
-
Anne Jourdain, Thibault Buisson, Alain Phommahaxay, Mark Privett, Dan Wallace, Sumant Sood, Peter Bisson, Eric Beyne, Youssef Travaly, and Bart Swinnen
- Published
- 2010
- Full Text
- View/download PDF
10. A Novel Method for Characterization of Ultralow Viscosity NCF Layers Using TCB for 3D Assembly
- Author
-
Giovanni Capuz, Melina Lofrano, Carine Gerets, Fabrice Duval, Pieter Bex, Jaber Derakhshandeh, Kris Vanstreels, Alain Phommahaxay, Eric Beyne, and Andy Miller
- Subjects
010302 applied physics ,Computer Networks and Communications ,020208 electrical & electronic engineering ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,02 engineering and technology ,Electrical and Electronic Engineering ,01 natural sciences ,Electronic, Optical and Magnetic Materials - Abstract
For die-to-wafer (D2W) stacking of high-density interconnects and fine-pitch microbumps, underfill serves to fill the spaces in-between microbumps for protection and reliability. Among the different types of underfill, nonconductive film (NCF) has the advantages of fillet and volume control. However, one of the challenges is the solder joint wetting. An NCF must have good embedded-flux activation to mitigate Cu UBM pad oxidation due to the repeated TCB cycles that accelerate oxidation on neighboring dice. The flux in the NCF also helps in wetting the solder bumps. To realize efficient solder wetting, one must also understand the NCF deformation quality, which is a function of its viscosity. This parameter has direct impact on the deformation of solder bumps. High-viscosity NCF would be difficult to deform, thus preventing solder contact to pad during TCB reflow temperature. High bond force is required and could lead to reduced alignment accuracy. For a low viscous NCF, it requires low bond force. Solder joint wetting is a challenge with excessive squeezeout due to fast and instantaneous deformation. We seek to demonstrate in this article a creative methodology for NCF material characterization, considering the factors of NCF viscosity, deformation, and solder squeezeout. We use TCB tool position-tracking data to define the deformation curve of the NCF as a function of temperature and time at very fast profile of TCB. We use the NCF viscosity curve as reference in relation to the actual deformation, and predict dynamic deformation in three different configurations. Deformation test configurations were performed on chips with and without microbumps bonded with a rigid flat glass surface and with a bottom Cu UBM pad. The experiments were performed with different heating ramp rates at target above Sn reflow of ~250°C interface temperature. As validation, we applied the optimized TCB process (force, temperature, and ramp rate) on a test vehicle with 20 and 40 μm pitch daisy chains and obtained very good connectivity with good joint and IMC formation.
- Published
- 2021
11. Low temperature backside damascene processing on temporary carrier wafer targeting 7μm and 5μm pitch microbumps for N equal and greater than 2 die to wafer TCB stacking
- Author
-
Jaber Derakhshandeh, Eric Beyne, Gerald Beyer, Giovanni Capuz, Vladimir Cherman, Inge De Preter, Carine Gerets, Ehsan Shafahian, Koen Kennes, Geraldine Jamieson, Tom Cochet, Tomas Webers, Bert Tobback, Geert Van der Plas, Douglas Charles La Tulipe, Alain Phommahaxay, and Andy Miller
- Published
- 2022
12. Direct Bonding Using Low Temperature SiCN Dielectrics
- Author
-
Serena Iacovo, Fuya Nagano, Venkat Sunil Kumar Channam, Edward Walsby, Kath Crook, Keith Buchanan, Anne Jourdain, Kris Vanstreels, Alain Phommahaxay, and Eric Beyne
- Published
- 2022
13. Carrier Systems for Collective Die-to-Wafer Bonding
- Author
-
Koen Kennes, Alain Phommahaxay, Alice Guerrero, Samuel Suhard, Pieter Bex, Steven Brems, Xiao Liu, Sebastian Tussing, Gerald Beyer, and Eric Beyne
- Published
- 2022
14. Morphological characterization and mechanical behavior by dicing and thinning on direct bonded Si wafer
- Author
-
Fumihiro Inoue, Lan Peng, Alain Phommahaxay, Kenneth June Rebibis, Arnita Podpod, Eric Beyne, and Akira Uedono
- Subjects
0209 industrial biotechnology ,Materials science ,Wafer bonding ,Strategy and Management ,02 engineering and technology ,Surface finish ,Direct bonding ,Management Science and Operations Research ,021001 nanoscience & nanotechnology ,Atomic units ,Industrial and Manufacturing Engineering ,Die (integrated circuit) ,020901 industrial engineering & automation ,Vacancy defect ,Wafer ,Wafer dicing ,Composite material ,0210 nano-technology - Abstract
Direct wafer bonding is getting a standard and essential process in high density 3D integration devices. In this study, we investigated impact of direct bonding interface and extremely thinned Si on dicing and thinning processes. By comparing single wafer and direct bonded wafer having extreme thinned Si on top, bigger frontside chippings are observed after dicing for the case of direct bonded wafer. However, cross-sectional images unveil that the initiated point of the chipping is at the interface between SiCN and SiO2, not at the bonding interface. It indicates that direct bonding interface and 5 μm Si are not the root-cause of the chippings. For the backside thinning process, comprehensive analysis including atomic scale vacancy measurement/observation and macro level roughness/morphology analysis are executed. The major impact on die strength was given by macro level roughness. Despite having bigger chipping on bonded wafer, higher die strength is obtained from bonded wafer. It might be due to the stress buffering caused by bonding interface.
- Published
- 2020
15. Characterization of Silicon Carbon Nitride for Low Temperature Wafer-to-Wafer Direct Bonding
- Author
-
Serena Iacovo, Erik Sleeckx, Gerald Beyer, Stefan De Gendt, Eric Beyne, Fumihiro Inoue, Fuya Nagano, and Alain Phommahaxay
- Subjects
chemistry.chemical_compound ,Materials science ,chemistry ,Silicon ,business.industry ,Optoelectronics ,chemistry.chemical_element ,Wafer ,Direct bonding ,business ,Carbon nitride ,Characterization (materials science) - Abstract
Three-dimensional circuit integration in vertical stacking attracts significant attention as a promising technique to fabricate higher performance and multifunctional chips without current 2D scaling limitation. Generally, the 3D integration using micro bumps consisted of solder joints hold the major integration scheme. However, micro bumps using solders joints confront stacking issues in the case of finer pitch (> 2µm) due to misalignment during thermal compression bonding. The wafer-to-wafer (W2W) hybrid bonding system can be then introduced as one of the state-of-the-art techniques in the 3D integration scheme. In this bonding scheme, two different bonding combinations are established simultaneously, which are Cu-to-Cu and dielectric-to-dielectric, respectively. For these bonding structures, dielectric material adjacent to Cu is required as not only an insulator but also a mechanical factor to withstand the grinding process for further 3D integration. Silicon carbon nitride (SiCN) compound has aroused great interest for bonding dielectrics due to high thermal stability and strong bond strength for direct bonding as well as Cu diffusion barrier ability. Recently back-to-face W2W hybrid bonding using backside SiCN deposition are also challenging to DRAM applications. However, in the case of DRAMs stacking, SiCN W2W direct bonding must be processed at lower temperature (350°C). In the past, we have reported that low temperature bonding using SiCN film deposited at 370°C obtained more than 2.0 J/m2 of adhesion energy at 250°C of post annealing temperature. However, W2W direct bonding using SiCN film deposited at lower temperature ( In this study, properties of W2W direct bonding with SiCN deposited at varied temperature are evaluated. Chemical and mechanical properties of SiCN film linked to the bonding quality are fundamentally studied by using specific measurements. The SiCN films were deposited by plasma enhanced chemical vapor deposition (PECVD) at specific temperature 200°C and 370°C. After deposition, these wafers were annealed in a 10% H2/N2 atmosphere at a temperature of 200°C. CMP with typical barrier metal slurry and pad was employed to flatten SiCN surface. Subsequently, the wafer surface was activated by using N2 plasma and rinsed in deionized water. Wafers were finally bonded at room temperature in the EVG GEMINI system. Different characterization techniques have been used to understand the layer quality of the SiCN film deposited at 200°C (which indicated as SiCN 200°C in the text) in comparison with the optimized SiCN deposited at 370°C (indicated as SiCN 370°C). Bond energies for the different wafer pairs have been calculated by using the blade insertion method. The highest bond energy ~ 2.3 J/m2 was obtained in the case of SiCN 370°C, while the bond energy for SiCN 200°C was ~ 1.4 J/m2. ERD observed the elemental composition in bulk for SiCN 370°C and 200°C, respectively (Table 1). It is found that SiCN 200°C is not pure SiCN as SiCN 370°C but it is characterized by the presence of oxygen into the bulk. Oxygen could come from absorbed moisture since deposition and annealing steps are including no oxygen resources. FTIR and XPS were carried out to clarify the chemical components of SiCN 370°C and SiCN 200°C (Figure 1). FTIR spectra of SiCN 200°C is compared with the spectra of SiCN 370°C in Figure 1(a). The broad peak 3100 – 3500cm-1 is assigned to N-H and O-H components, the last one due to moisture absorption from air ambient. A peak at ~1160 cm-1 can be assigned to NH3. This assignment is confirmed through XPS measurements where NH3 peak is detectable in the N1s peak (Figure 1 (c) right) while no NH3 peaks can be detected from the as-deposited SiCN 370°C (Figure 1(b) right). Such observation is suggesting the presence of residue of deposition precursors in the SiCN 200°C. In the Si2p peak of XPS spectra of Figure 1(c), it is observed that SiCN 200°C is characterized by less Si-C bonds compared to the SiCN 370°C as-deposited film. mainly Si-O bonds can be observed in the case of SiCN 200°C after N2 plasma while main peaks didn’t change from CMP for SiCN 370°C (Figure 2). The fact that in the as-deposited SiCN 200°C we do not have Si-C bonds is pointing to a missing desired property for the material since it was demonstrated that Si and C dangling bonds are essential in the process of enhancing bond energies. Figure 1
- Published
- 2020
16. A novel method for characterization of Ultra Low Viscosity NCF layers using TCB for 3D Assembly
- Author
-
Andy Miller, Jaber Derakhshandeh, Melina Lofrano, Pieter Bex, Carine Gerets, Eric Beyne, Kris Vanstreels, Alain Phommahaxay, Fabrice Duval, and Giovanni Capuz
- Subjects
Materials science ,Chemical engineering ,Automotive Engineering ,Characterization (materials science) - Abstract
For die to wafer bonding of high-density interconnects and fine pitch microbumps developing and characterizing suitable underfill materials are required. In general, underfill serve to fill the spaces in-between microbumps for protection and reliability. Among the different types of underfill, Non-Conductive Film (NCF) has the advantages of fillet and volume control, and a built-in flux to aid wetting. However, challenges arise for thin dies and microbumps with fine pitches on film lamination, voiding, transparency, filler percentage, dicing compatibility and most importantly, deformation behavior and possibility to improve solder joint wetting. In a Die-to-Wafer D2W stacking with a Sn solder bump interconnect to Cu UBM, concern is high on the Cu pad oxidation due to the repeated TCB cycles that accelerate oxidation on neighboring dies. Process mitigation is needed to help reducing the oxidation. But even so, an NCF must have good embedded flux activation. Another main factor for an NCF to have efficient TCB process with good solder joint wetting, is the NCF deformation quality in which is a function of its viscosity. This parameter has direct impact on the deformation of solder bumps. High viscosity NCF would be difficult to deform, thus preventing solder contact to pad during TCB reflow temperature. High bond force is required and could lead to reduced alignment accuracy. Filler entrapment is also a subsequent concern for high filler loading, high viscosity NCF. For a low viscous NCF, careful attention in process characterization is needed in TCB with low bond force. Solder joint wetting is a problem with excessive squeeze-out due to fast and instantaneous deformation. With low viscosity, not only the bond force applied should be low, but the deformation behavior should also be understood to enable an effective NCF. We seek to demonstrate in this paper a creative methodology for Non-Conductive Film (NCF) material characterization, considering the factors of NCF viscosity, deformation, and solder squeeze-out. Characterizing NCF viscosity at fast TCB profiles is challenging considering deformation behavior of both the NCF itself and the solder bumps that shaped the solder squeeze-out and wetting. Furthermore, in this paper we use TCB tool position tracking to define the deformation curve of NCF film as a function of temperature and time at very fast profile of TCB. We use material viscosity curve as reference in relation to the actual deformation, and predict dynamic deformation based on Reynold’s equation within TCB profile duration. The experiments were performed with different heating ramp rates at target above Sn reflow of ~250C interface temperature. The deformation analysis is not limited to thin film sandwiched between parallel plates. Deformation test was performed on chips with and without microbumps and with rigid flat glass surface and its combinations. Deformation of underfill is recorded in the readout of TCB tool. As validation, we applied the optimized TCB process (force, temperature, and ramp rate) on a test vehicle with 20 and 40um pitch daisy chains and obtained close to 95% electrical yield with good joint and IMC formation. The cross-section SEM images show good wetting, revealing good activation of built-in flux when the optimized TCB profile was used.
- Published
- 2020
17. The unique properties of SiCN as bonding material for hybrid bonding
- Author
-
Eric Beyne, Fuya Nagano, Lan Peng, Fumihiro Inoue, Serena Iacovo, Soon-Wook Kim, and Alain Phommahaxay
- Subjects
Materials science ,Thermal ,Process control ,Dielectric ,Composite material - Abstract
Direct Cu-SiCN hybrid bonding is successfully realized by using a thermal budget of 250 °C. The excellent results should be attributed to the tight control on the different processing steps but also to the properties of the SiCN dielectric used as bonding material.
- Published
- 2021
18. Acoustic modulation during laser debonding of collective hybrid bonded dies
- Author
-
Dennis Bumueller, Eric Beyne, Sebastian Tussing, Alice Guerrero, Xiao Liu, Gerald Beyer, Samuel Suhard, Pieter Bex, Alain Phommahaxay, and Koen Kennes
- Subjects
Shock wave ,Materials science ,Yield (engineering) ,Laser ablation ,business.product_category ,business.industry ,Laser ,law.invention ,law ,Die (manufacturing) ,Wafer ,Composite material ,business ,Layer (electronics) ,Thermal energy - Abstract
Laser debonding is assessed as an alternative to mechanical peel debonding in a collective hybrid bonding flow. Potential risks associated with the laser, such as the UV-photon energy, thermal energy and mechanical/acoustic energy are evaluated both on dummy wafers as on electrically yielding device wafers. It is shown that the laser ablation of a so called laser release layer generates an acoustic shock wave that in the case of ultra-thin dies can lead to die damage and thus a lower transfer yield. A model is proposed and based on it and an ‘acoustic layer’ is added to the flow which is successful in preventing this type of damage. The influence of this additional layer on the established bonding process of reference is assessed in detail. A 100% die-transfer yield with an average sub 150 nm die-to-target-wafer alignment yield is achieved.
- Published
- 2021
19. Characterization of bonding activation sequences to enable ultra-low Cu/SiCN wafer level hybrid bonding
- Author
-
Fuya Nagano, Serena Iacovo, Eric Beyne, Jürgen Burggraf, Thierry Conard, Joeri De Vos, Alain Phommahaxay, Andreas Fehkührer, Soon-Wook Kim, Fumihiro Inoue, Lan Peng, and Thomas Uhrmann
- Subjects
Materials science ,Passivation ,Chemical engineering ,X-ray photoelectron spectroscopy ,Bond strength ,Annealing (metallurgy) ,Ellipsometry ,Wafer ,Dielectric ,Bond energy - Abstract
A key factor enabling the reduction of the thermal budget in W2W bonding integration flows is the activation sequence, consisting of cleaning and plasma treatment, used before bonding. For hybrid bonding such activation sequence needs to be selected to fulfill multiple functions: activation of the dielectric bonding surface to obtain a high bonding energy during room temperature bonding, minimize the dielectric bonding annealing temperature while avoiding exposed Cu oxidation and reducing the required annealing temperature for proper Cu-to-Cu bonding. In this paper we discuss the effect of different wafer clean methods (Deionized (DI) water and citric acid) and the effect of different plasma treatments on SiCN and Cu surfaces before bonding. The characterization techniques used include XPS, TEM, ellipsometry, SAM, OES and wafer-to-wafer bond strength testing. It is observed that Nitrogen plasma treatment can help in reducing the CuOx and a mild passivation effect of the surface is observed. Whereas on the Cu pads citric acid, used just before bonding, appears a good option, since clear effectiveness in reducing the CuOx is observed, on the dielectric it may leave some residues which can result sometimes in bonding defects. Moreover, a metric to evaluate efficient SiCN-SiCN bonding is proposed and further understanding of the physical mechanisms involved in SiCN-SiCN bonding are suggested.
- Published
- 2021
20. Demonstration of a collective hybrid die-to-wafer integration using glass carrier
- Author
-
Samuel Suhard, Koen Kennes, Gerald Beyer, Jash Patel, Huma Ashraf, Anne Jourdain, Chris Bolton, Ferenc Fodor, Pieter Bex, Lieve Teugels, Eric Beyne, Edward Walsby, Richard Barnett, Alain Phommahaxay, and Douglas Charles La Tulipe
- Subjects
education.field_of_study ,Yield (engineering) ,Silicon ,business.industry ,Wafer bonding ,Population ,chemistry.chemical_element ,Die (integrated circuit) ,chemistry ,visual_art ,Electronic component ,visual_art.visual_art_medium ,Optoelectronics ,Wafer ,business ,education - Abstract
In this paper, a collective hybrid bonding of a die to wafer integration using glass wafer is demonstrated. The key process steps such as thinning on glass, carrier preparation, CMP die population and wafer to wafer bonding are discussed. Die to wafer bonding yield of 90% is shown. Promising electrical yields of more than 80% are obtained on daisy chains (219 pads connections) on pitches ranging from $7\mu\mathrm{m}$ to $40\ \mu\mathrm{m}$ .
- Published
- 2021
21. Influence of Composition of SiCN as Interfacial Layer on Plasma Activated Direct Bonding
- Author
-
Fumihiro Inoue, Patrick Verdonck, Lan Peng, Erik Sleeckx, Praveen Dara, Eric Beyne, Serena Iacovo, Gerald Beyer, Johan Meersschaut, Andy Miller, and Alain Phommahaxay
- Subjects
Technology ,Science & Technology ,Materials science ,Physics ,Materials Science ,Materials Science, Multidisciplinary ,Direct bonding ,Plasma ,Physics, Applied ,Electronic, Optical and Magnetic Materials ,Chemical engineering ,Physical Sciences ,Composition (visual arts) ,Layer (electronics) - Abstract
ispartof: ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY vol:8 issue:6 pages:P346-P350 ispartof: location:MEXICO, Cancun status: published
- Published
- 2019
22. Wafer-scale integration of double gated WS2-transistors in 300mm Si CMOS fab
- Author
-
E. Dupuy, Steven Brems, Devin Verreck, P. Morin, Cedric Huyghebaert, Goutham Arutchelvan, D. Radisic, Alain Phommahaxay, A. Thiam, Abhinav Gaur, Tom Schram, Matty Caymax, Koen Kennes, Katia Devriendt, Quentin Smets, W. Li, Inge Asselberghs, Thibaut Maurice, Iuliana Radu, Aryan Afzalian, Benjamin Groven, J-F de Marneffe, D. Lin, and Daire J. Cott
- Subjects
Wafer-scale integration ,Materials science ,Silicon ,business.industry ,Transistor ,chemistry.chemical_element ,law.invention ,CMOS ,chemistry ,law ,Logic gate ,Optoelectronics ,Wafer ,business ,TO-18 ,Communication channel - Abstract
Double gated WS 2 transistors with gate length down to 18 nm are fabricated in a 300mm Si CMOS fab. By using large statistical data sets and mapping uniformity on full 300mm wafer, we built an integration vehicle where impact of each process step can be understood and developed accordingly to enhance device performance. In-depth analysis of V T variability reveals multiple possible sources at different length scales, with the most prominent one being the channel material. The work presented here paves the way towards industrial adoption of 2D materials.
- Published
- 2020
23. Film Characterization of Low-Temperature Silicon Carbon Nitride for Direct Bonding Applications
- Author
-
Serena Iacovo, G. Beyer, Fuya Nagano, Erik Sleeckx, Fumihiro Inoue, S. De Gendt, Alain Phommahaxay, and Eric Beyne
- Subjects
Technology ,Materials science ,Silicon ,SURFACE ,Materials Science ,Plasma activation ,Low temperature bonding ,chemistry.chemical_element ,Silicon carbone nitride ,Nanotechnology ,Materials Science, Multidisciplinary ,Direct bonding ,Physics, Applied ,wafer-to-wafer bonding ,chemistry.chemical_compound ,Carbon nitride ,Science & Technology ,Physics ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,SOLDER JOINTS ,SICN ,chemistry ,Physical Sciences ,Low-k ,Dielectrics - Abstract
Silicon carbon nitride (SiCN) compounds have aroused great interest as dielectric materials for direct bonding because of the high thermal stability and high bond strength, as well as its Cu diffusion barrier properties. While wafer-to-wafer direct bonding, including the dielectric deposition step, is generally performed at high temperature (>350 °C), applications such as heterogeneous chips and DRAMs would require wafer-to-wafer direct bonding at lower temperature (
- Published
- 2020
24. Design issues and considerations for low-cost 3D TSV IC technology.
- Author
-
Geert Van der Plas, Paresh Limaye, Abdelkarim Mercha, Herman Oprins, Cristina Torregiani, Steven Thijs, Dimitri Linten, Michele Stucchi, Guruprasad Katti, Dimitrios Velenis, Domae Shinichi, Vladimir Cherman, Bart Vandevelde, Veerle Simons, Ingrid De Wolf, Riet Labie, Dan Perry, Stephane Bronckers, Nikolaos Minas, Miro Cupac, Wouter Ruythooren, Jan Van Olmen, Alain Phommahaxay, Muriel de Potter de ten Broeck, Ann Opdebeeck, Michal Rakowski, Bart De Wachter, Morin Dehan, Marc Nelis, Rahul Agarwal, Wim Dehaene, Youssef Travaly, Pol Marchal, and Eric Beyne
- Published
- 2010
- Full Text
- View/download PDF
25. Scaled transistors with 2D materials from the 300mm fab
- Author
-
A. Thiam, Alain Phommahaxay, Steven Brems, Daire J. Cott, Katia Devriendt, Abhinav Gaur, P. Morin, W. Li, D. Radisic, Dennis Lin, Inge Asselberghs, E. Dupuy, T. Maurice, J-F de Marneffe, Iuliana Radu, Quentin Smets, Benjamin Groven, and Tom Schram
- Subjects
Materials science ,business.industry ,Flow (psychology) ,Transistor ,Process (computing) ,law.invention ,CMOS ,law ,Deposition (phase transition) ,Optoelectronics ,Sensitivity (control systems) ,business ,Layer (electronics) ,Communication channel - Abstract
Integration of 2D-materials brings a new set of challenges to a 300 mm Si CMOS fab. We have opted for double gated WS 2 transistors as test vehicle with transition metal dichalcogenides as channel. Moreover, we explore two different routes within a modified industry standard flow, differentiating in channel deposition method either by direct deposition or via layer transfer. The integration flow mitigates the constraints of high surface sensitivity and low adhesion for these materials. Device performance of I on /I off up to 107 is achieved and WIW mapping is obtained opening the route for further process understanding.
- Published
- 2020
26. Demonstration of a collective hybrid die-to-wafer integration
- Author
-
Samuel Suhard, Koen Kennes, Gerald Beyer, M. Liebens, Pieter Bex, Alain Phommahaxay, Eric Beyne, Andy Miller, Ferenc Fodor, and John Slabbekoorn
- Subjects
Void (astronomy) ,Materials science ,business.industry ,Wafer bonding ,Optoelectronics ,Wafer ,business ,Metrology - Abstract
In this paper, a collective hybrid bonding of a die to wafer is demonstrated. The key integration steps such as CMP, wet etch, cleaning, defect metrology, alignment optimization in die to wafer and wafer to wafer bonding tools are discussed in detail. Finally void free Cu to Cu and SiCN-SiCN connection is shown in this paper. Promising electrical yield more than 85% is obtained for the daisy chains containing 219 pad connections.
- Published
- 2020
27. 10 and 7 μm Pitch Thermo-compression Solder Joint, Using A Novel Solder Pillar And Metal Spacer Process
- Author
-
Ehsan Shafahian, Gerald Beyer, Inge De Preter, Carine Gerets, Eric Beyne, Fumihiro Inoue, Jaber Derakhshandeh, Giovanni Capuz, Julien Bertheau, Andy Miller, Fabrice Duval, Alain Phommahaxay, Pieter Bex, T. Webers, Geert Van der Plas, Stefaan Van Huylenbroeck, Lin Hou, and Vladimir Cherman
- Subjects
010302 applied physics ,Materials science ,Yield (engineering) ,020208 electrical & electronic engineering ,Process (computing) ,02 engineering and technology ,Deformation (meteorology) ,Compression (physics) ,01 natural sciences ,Metal ,visual_art ,Soldering ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,visual_art.visual_art_medium ,Process window ,Composite material ,Joint (geology) - Abstract
In this paper, spacer bumps concept is introduced to increase the process window for TCB, lower the sensitivity of electrical yield to bump height variation, maintain the gap between two dies and to prevent too much solder deformation for a test vehicle having multi-diameter bumps from 40um down to 5um pitches. Adding spacer bumps improves the electrical yield dramatically to close to 100% and ensures having good solder joint and IMC formation for both face to face N=2 and back to face N=4 stacks.
- Published
- 2020
28. Introduction of a New Carrier System for Collective Die-to-Wafer Hybrid Bonding and Laser-Assisted Die Transfer
- Author
-
Pieter Bex, Alain Phommahaxay, G. Beyer, Serena Iacovo, Thomas Schmidt, Samuel Suhard, Koen Kennes, Eric Beyne, Alice Guerrero, Olga Bauder, and Xiao Liu
- Subjects
Materials science ,business.product_category ,Carrier system ,business.industry ,Limiting ,Laser assisted ,Laser ,law.invention ,law ,Compatibility (mechanics) ,Optoelectronics ,Die (manufacturing) ,Wafer ,business - Abstract
Current roadblocks within the collective die-to-wafer bonding flow, limiting die-transfer yield and throughput, are identified and discussed. Based on the obtained assessment a new carrier system is introduced. The use of the ultra-soft and highly-UV-transparent BrewerBOND® C1301 material and its compatibility with BrewerBOND® 701 laser release material, which is coated on top of ultra-thin dies, allows for a room temperature, ultra-low force collective bonding with laser-assisted die transfer sequence.
- Published
- 2020
29. Development of compression molding process for Fan-Out wafer level packaging
- Author
-
Julien, Bertheau, primary, Fabrice F.C., Duval, additional, Tadashi, Kubota, additional, Pieter, Bex, additional, Koen, Kennes, additional, Alain, Phommahaxay, additional, Arnita, Podpod, additional, Eric, Beyne, additional, Andy, Miller, additional, and Gerald, Beyer, additional
- Published
- 2020
- Full Text
- View/download PDF
30. Influence of Si wafer thinning processes on (sub)surface defects
- Author
-
Joeri De Vos, Andy Miller, Akira Uedono, Erik Sleeckx, Lan Peng, Alain Phommahaxay, Fumihiro Inoue, Anne Jourdain, Eric Beyne, and Kenneth June Rebibis
- Subjects
010302 applied physics ,Materials science ,General Physics and Astronomy ,02 engineering and technology ,Surfaces and Interfaces ,General Chemistry ,Surface finish ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Surfaces, Coatings and Films ,Grinding ,Etching (microfabrication) ,Chemical-mechanical planarization ,Vacancy defect ,0103 physical sciences ,Surface roughness ,Wafer ,Dry etching ,Composite material ,0210 nano-technology - Abstract
Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in between grinding and dry etch it is possible to significantly reduce not only the roughness, but also the remaining vacancies at the subsurface. The surface of grinding + CMP + dry etching gives an equivalent mono vacancy result as to that of grinding + CMP. This combination of thinning processes allows development of extremely thin 3D integration devices with minimal roughness and vacancy surface.
- Published
- 2017
31. Edge trimming for surface activated dielectric bonded wafers
- Author
-
Alain Phommahaxay, Berthold Moeller, Andy Miller, Jakob Visker, Erik Sleeckx, Kaori Yokoyama, Fumihiro Inoue, Eric Beyne, Lan Peng, Kenneth June Rebibis, and Anne Jourdain
- Subjects
010302 applied physics ,Materials science ,Fabrication ,Diamond blade ,Nanotechnology ,02 engineering and technology ,Dielectric ,Edge (geometry) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Bevel ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Grinding ,Anodic bonding ,0103 physical sciences ,Wafer ,Electrical and Electronic Engineering ,Composite material ,0210 nano-technology - Abstract
The impact of the edge trimming process on permanently bonded wafers is described. The edge trimming process is a blade sawing process applied on the Si wafer edge and bevel, removing the fragile edge of the wafer prior to grinding. We investigated two routes for the integration on permanently bonded Si wafers, edge-trim before bonding and edge-trim after bonding. The impact on the subsequent processes for both integration routes is assessed. For the case of edge-trim before bonding, a combination of functional water cleaning such as ozone dissolved in water and ammoniac scrub cleaning shows a significant effect to remove Si residues, enabling void free dielectric bonding. For the case of edge-trim after bonding, utilizing a small grit diamond blade shows no mechanical failures into the dielectric bonding interface. These proposed processes are a very promising for the fabrication of extreme thinned Si without mechanical failure at wafer edge.
- Published
- 2017
32. The Growing Application Field of Laser Debonding: From Advanced Packaging to Future Nanoelectronics
- Author
-
Andy Miller, Walter Spiess, John Slabbekoorn, Arnita Podpod, Inge Asselberghs, Stefan Lutter, Kim Yess, Erik Sleeckx, Koen Kennes, Luke Prenger, Alice Guerrero, Iuliana Radu, Sebastian Tussing, Steven Brems, Cedric Huyghebaert, Gerald Beyer, Alain Phommahaxay, Thomas Rapps, Kim Arnold, and Eric Beyne
- Subjects
Beyond CMOS ,Semiconductor ,Nanoelectronics ,Computer science ,Wafer bonding ,business.industry ,Hardware_INTEGRATEDCIRCUITS ,Fan-out ,Wafer ,Substrate (printing) ,business ,Engineering physics ,Field (computer science) - Abstract
Thin substrate handling has become one of the cornerstone technologies that enabled the development of 3D stacked ICs over the past years. Temporary wafer bonding has continuously improved and reached the maturity level required by volume manufacturing of first-generation devices. Yet the need remains for further development and performance increases. Indeed, the continuous push for denser interconnects has brought new requirements for a through-silicon-via technology on one side but also pushed temporary adhesive and carrier technology into the space of wafer reconstruction and fan-out WLP. On the opposite side of the semiconductor spectrum, at the early steps of the front-end-of-line processing, transistor scaling becomes more and more challenging, including demanding the integration of higher numbers of novel materials. To further increase the options of materials, a growing number of exploratory devices are considering using a layer transfer approach. The advances in temporary bonding and debonding technology is bringing the packaging and nanoscale world together.
- Published
- 2019
33. Protective Layer for Collective Die to Wafer Hybrid Bonding
- Author
-
Yohei Kinoshita, Eric Beyne, Samuel Suhard, Fumihiro Inoue, Julien Bertheau, Alain Phommahaxay, Tetsuro Kinoshita, and Takuya Ohashi
- Subjects
010302 applied physics ,chemistry.chemical_classification ,Materials science ,02 engineering and technology ,Polymer ,Direct bonding ,021001 nanoscience & nanotechnology ,01 natural sciences ,chemistry ,0103 physical sciences ,Water cooling ,Wafer dicing ,Wafer ,Composite material ,0210 nano-technology ,Cost of ownership - Abstract
Blade dicing compatible particle protective layer is investigated for feasibility study of collective Die-to- Wafer (D2W) direct bonding. Having the compatibility to blade dicing, the protective layer needs to be insoluble for de-ionized water (DIW), because blade dicing requires cooling water to maintain the cutting performance. Therefore, polymers soluble in alkaline solutions (e.g. TMAH, NH 4 OH), however, insoluble in DIW are tested for collective die to wafer direct bonding. With the dicing particle protective layer technology, successful collective die to wafer direct bonding with current die assembly tools are achieved. The alternative protective layer ensures high yield and cost of ownership for collective die to wafer integration
- Published
- 2019
34. Novel Temporary Bonding and Debonding Solutions Enabling an Ultrahigh Interonnect Density Fo-Wlp Structure Assembly with Quasi-Zero Die Shift
- Author
-
Alice Guerrero, Tom Cochet, Arnita Podpod, Hariharan Arumugam, Koen Kennes, Qi Wu, Kim Yess, Erick Sleeckx, Kim Arnold, Julien Bertheau, Andy Miller, Gerald Beyer, Eric Beyne, Alain Phommahaxay, Pieter Bex, Kenneth June Rebibis, and Xiao Liu
- Subjects
Interconnection ,Materials science ,Silicon ,Wafer bonding ,business.industry ,Orders of magnitude (temperature) ,chemistry.chemical_element ,Die (integrated circuit) ,chemistry ,Distortion ,Optoelectronics ,Wafer ,business ,Flip chip - Abstract
Next-generation temporary bonding adhesive material is introduced into imec's high interconnect density flip chip on fan-out wafer-level package (FC FOWLP) concept [1], [2]. After molding on silicon substrates, an ultralow die shift with an average of $ die-to-carrier mismatch and warpage of $ were achieved in a full 300-mm wafer. These values are orders of magnitude improvement over results reported in literature and has major implications on the processing of overmolded substrates. The combination of this low warp and ultralow distortion opens the possibility for fine-pitch RDL combined with a chip-first approach, which was impossible until now. The evolution of warpage and die shift through multiple processing steps will also be discussed in this paper.
- Published
- 2019
35. Novell embedded microbump approach for die-to-die and wafer-to-wafer interconnects with variable microbump diameters and down to 5 um interconnect pitch scaling
- Author
-
John Slabbekoorn, Jaber Derakhshandeh, Melina Lofrano, Julien Bertheau, Andy Miller, Lin Hou, Fumihiro Inoue, M. Honore, Fabrice Duval, Vladimir Cherman, Kenneth June Rebibis, F. Beirnaert, Gerald Beyer, G. Jamieson, Giovanni Capuz, Samuel Suhard, C. Heyvaert, Nancy Heylen, I. De Preter, Carine Gerets, Eric Beyne, T. Webers, Alain Phommahaxay, G. Van der Plas, Pieter Bex, and Tom Cochet
- Subjects
Interconnection ,Materials science ,Wafer bonding ,Soldering ,Copper interconnect ,Wafer ,Composite material ,Electroplating ,Flip chip ,Die (integrated circuit) - Abstract
In this paper a novel solder-based die-to-die or wafer-to-wafer interconnect approach is introduced. This technique allows for microbump interconnects with different diameters on a single die and allows for pitch scaling down to 5μm A metal damascene process is used to create the metal pad layers for soldering. Solder μm bumps are created by semiadditive electroplating. After embedding the solder u bumps in a partially cured polymer, the wafer surface is planarized and the solder surface is exposed. This results in flat die surfaces of the die before bonding. Using a thermo-compression bonding process these flat surfaces can be aligned, the solder reacts with the metal pad to form a solder joint and the polymer can bond and cure to ensure a void less underfill layer for mechanical strength and increased reliability. The selection of suitable metals and polymers as well as the different process steps together with reliability data will be discussed in detail. Electrical yield and quality of bonding is demonstrated using imec 5μm pitch PTCU/W test vehicle for die to wafer bonding.
- Published
- 2019
36. Enabling Ultra-Thin Die to Wafer Hybrid Bonding for Future Heterogeneous Integrated Systems
- Author
-
Erik Sleeckx, Alain Phommahaxay, John Slabbekoorn, Lan Peng, Eric Beyne, Serena Iacovo, G. Beyer, Koen Kennes, Pieter Bex, Fumihiro Inoue, and Samuel Suhard
- Subjects
010302 applied physics ,Flexibility (engineering) ,Interconnection ,Materials science ,Wafer bonding ,Integrated systems ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Dielectric ,Overlay ,021001 nanoscience & nanotechnology ,01 natural sciences ,Die (integrated circuit) ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,0210 nano-technology - Abstract
The recent developments of wafer-to-wafer bonding technology based on direct assembly of inorganic dielectric materials is offering a path for the continuous need for higher integration density and lower interconnect pitches. However, numerous applications could benefit of a higher degree of design flexibility offered by a die-to-wafer approach. The achievement of high yielding die-to-wafer bonding with micron range die overlay is an essential element to unlock the potential of heterogeneous integration.
- Published
- 2019
37. Direct Bonding of low Temperature Heterogeneous Dielectrics
- Author
-
Alain Phommahaxay, Serena Iacovo, Andy Miller, Eric Beyne, G. Beyer, Soon-Wook Kim, Lan Peng, Erik Sleeckx, Fumihiro Inoue, and Patrick Verdonck
- Subjects
010302 applied physics ,Materials science ,Bond strength ,02 engineering and technology ,Direct bonding ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Quality (physics) ,0103 physical sciences ,Thermal ,Deposition (phase transition) ,Fourier transform infrared spectroscopy ,Composite material ,0210 nano-technology ,Layer (electronics) - Abstract
Nowadays, the direct bonding process is embedded in a BEOL manufacturing process where the maximum temperature is 400C. For certain applications there is the need to lower such thermal budget. One of the first process steps which will be modified will be the bonding layer deposition step as well as the densification step. It is known that by lowering the deposition temperature the quality of the dielectric will be decreased as well. This change will have a direct consequence on the bonding process which relies on the quality of the dielectric. It is found that if we use a post bond anneal temperature which exceeds the densification temperature voids originate at the bonding interface. By means of FTIR studies and ERD analysis the origin of the voids is tentatively ascribed to H or H related species. These findings provide a basic understanding on how to tune the deposition condition to select a proper low temperature dielectric which will enable us to obtain a good bonding uniformity and a good bond strength for the described application.
- Published
- 2019
38. Advances in Temporary Carrier Technology for High-Density Fan-Out Device Build-up
- Author
-
Erik Sleeckx, Andy Miller, Pieter Bex, Arnita Podpod, Alain Phommahaxay, Alice Guerrero, John Slabbekoorn, Kim Yess, Abdellah Salahoueldhadj, Kim Arnold, Eric Beyne, G. Beyer, and Julien Bertheau
- Subjects
Interconnection ,Wafer thinning ,Wafer bonding ,Computer science ,business.industry ,Limit (music) ,Hardware_INTEGRATEDCIRCUITS ,Electrical engineering ,Fan-out ,High density ,business ,Flip chip - Abstract
As the need for higher degrees of function integration on chips continues to rise, chip-to-chip connection density exponentially increases. The continuous push for denser interconnects has brought conventional FO-WLP to its limit. A novel FO-WLP concept has been proposed to enable 20-µm pitch interconnect chip-to-chip. To achieve this density and to further scale it down, a critical element is ultra-precise die-to-die positioning in the micron range. Advances in temporary bonding materials and carrier systems are required to enable such applications.
- Published
- 2019
39. Advanced Dicing Technologies for Combination of Wafer to Wafer and Collective Die to Wafer Direct Bonding
- Author
-
Samuel Suhard, Fumihiro Inoue, Hitoshi Hoshino, Alain Phommahaxay, Eric Beyne, Andy Miller, Kenneth June Rebibis, Berthold Moeller, Arnita Podpod, and Erik Sleeckx
- Subjects
010302 applied physics ,business.product_category ,Materials science ,business.industry ,Wafer bonding ,02 engineering and technology ,Direct bonding ,Edge (geometry) ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,law.invention ,law ,0103 physical sciences ,Optoelectronics ,Die (manufacturing) ,Wafer dicing ,Wafer ,0210 nano-technology ,business - Abstract
Feasibility study of alternative dicing technologies for collective die to wafer direct bonding combined with wafer to wafer direct bonded dies has been performed. Several dicing technologies such as blade dicing, laser grooving + plasma dicing, laser grooving + stealth dicing and laser grooving from backside were evaluated for this integration scheme. For the case of blade diced dies, the collective die to wafer direct bonding are not succeeded. This was due to particle interruption, caused by remaining particles from dicing. For the case of laser grooving + plasma dicing and laser grooving from backside, successful die to wafer direct bonding were observed. However, the die edge was not bonded for the case of laser grooving + stealth dicing. This was attributed to the occurrence of the laser recast caused during laser grooving. Based on the characterization of dicing techniques for this approach, we have achieved successful integration of collective die to wafer bonding combined with wafer to wafer bonded dies.
- Published
- 2019
40. Study of wafer warpage for Fan-Out wafer level packaging: finite element modelling and experimental validation
- Author
-
Alain Phommahaxay, Kenneth June Rebibis, Eric Beyne, Abdellah Salahouelhadj, Arnita Podpod, Mireia Bargallo Gonzalez, and Kris Vanstreels
- Subjects
Digital image correlation ,Materials science ,Wafer ,Composite material ,Nanoindentation ,Material properties ,Wafer-level packaging ,Temperature measurement ,Finite element method ,Thermal expansion - Abstract
Wafer warpage is a big challenge during wafer process in Fan-Out Wafer-Level-Packaging (FOWLP). It is crucial to keep warpage low as much as possible for successful process integration. The warpage is mainly due to the Coefficient of Thermal Expansion (CTE) mismatch between the involved materials during temperature changes. Furthermore, warpage of molded wafers depends on material properties. Therefore, accurate material characterization has great importance. In this paper, thermal-mechanical properties of the used polymeric materials were measured using nanoindentation and Stereo-Digital Image Correlation (SDIC). In this study, warpage of molded wafers with and without Temporary Bonding Adhesive (TBA) is investigated during heating to 200°C and cooling down to room temperature. SDIC technique was used to measure the warpage of molded wafers. Finally, Finite Element (FE) simulations were carried out using as input the measured thermal-mechanical properties. A comparison between warpage measurements and FE simulation at different temperatures showed a good agreement.
- Published
- 2019
41. TSV-assisted Hybrid FinFET CMOS - Silicon Photonics Technology for High Density Optical I/O
- Author
-
Davide Guermandi, S. Van Huylenbroeck, Peter Verheyen, P. De Heyn, Ashwyn Srinivasan, Caroline Demeurisse, Julien Bertheau, Andy Miller, M. Pantouvaki, S. Lardenois, P. Nolmans, Michal Rakowski, Kenneth June Rebibis, S. Balakrishnan, Y. Ban, Xiao Sun, Philippe Absil, Nicolas Pantano, Junwen He, Pieter Bex, Alain Phommahaxay, J. De Coster, J. Van Campenhout, L. Bogaerts, and Dimitrios Velenis
- Subjects
Materials science ,Silicon photonics ,CMOS ,business.industry ,Optoelectronics ,High density ,business - Published
- 2019
42. 2D materials: roadmap to CMOS integration
- Author
-
Matty Caymax, D. Chiappe, C. Lockhart de la Rosa, Daniil Marinov, Daire J. Cott, Surajit Sutar, Abhinav Gaur, Jonathan Ludwig, Iuliana Radu, Steven Brems, Cedric Huyghebaert, Quentin Smets, Tom Schram, Geoffrey Pourtois, Alain Phommahaxay, Inge Asselberghs, D. Lin, T. Kumar Agarwal, Alessandra Leonhardt, S. El Kazzi, Devin Verreck, and Goutham Arutchelvan
- Subjects
010302 applied physics ,Computer science ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Density scaling ,Bridge (nautical) ,CMOS ,0103 physical sciences ,Line (geometry) ,Key (cryptography) ,Electronic engineering ,Dimension (data warehouse) ,0210 nano-technology - Abstract
To keep Moore's law alive, 2D materials are considered as a replacement for Si in advanced nodes due to their atomic thickness, which offers superior performance at nm dimensions. In addition, 2D materials are natural candidates for monolithic integration which opens the door for density scaling along the 3rd dimension at reasonable cost. This paper highlights the obstacles and paths to a scaled 2D CMOS solution. The baseline requirements to challenge the advanced Si nodes are defined both with a physical compact model and TCAD analysis, which allows us to identify the most promising 2D material and device design. For different key challenges, possible integrated solutions are benchmarked and discussed. Finally we report on the learning from our first lab to fab vehicle designed to bridge the lab and IMEC's 300mm pilot line.
- Published
- 2018
43. Advances in SiCN-SiCN Bonding with High Accuracy Wafer-to-Wafer (W2W) Stacking Technology
- Author
-
S-W Kim, Lan Peng, Thomas Uhrmann, Andy Miller, Erik Sleeckx, Ben Schoenaers, G. Beyer, Serena Iacovo, Eric Beyne, D. Zinner, Fumihiro Inoue, J. De Vos, Andre Stesmans, Thomas Wagenleitner, Markus Wimplinger, Valery V. Afanas'ev, and Alain Phommahaxay
- Subjects
0209 industrial biotechnology ,Interconnection ,Materials science ,Silicon ,business.industry ,Audio time-scale/pitch modification ,Stacking ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,Overlay ,021001 nanoscience & nanotechnology ,020901 industrial engineering & automation ,Chemical bond ,chemistry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,0210 nano-technology ,business - Abstract
Results are presented of recent studies in material exploration for W2W bonding and advanced W2W alignment carried out as a holistic approach to enable a robust ultra-fine pitch interconnect for 3Dsystem-on-chip (SoC) technology. Various characterization methods have been employed, including electron-spin-resonance (ESR) monitoring of dangling-bond-type defects, invoked to compare SiCN-SiCN and SiO 2 -SiO 2 bonding bonding strength in terms of the the evolution of chemical bond densities at the interface. Furthermore, sub-200 nm W2W overlay performance has been demonstrated to cope with 3D interconnect scaling and stringent contact requirements. State-of-the-art overlay performance is achieved by the enabling features of the W2W aligner while the incoming wafer characteristics are monitored. The study provides a positive outlook to 3D SoC technological realization and sub-µm pitch scaling solutions.
- Published
- 2018
44. Edge Trimming Induced Defects on Direct Bonded Wafers
- Author
-
Kenneth June Rebibis, Fumihiro Inoue, Erik Sleeckx, Anne Jourdain, Eric Beyne, Alain Phommahaxay, Ingrid De Wolf, Daisuke Kosemura, Andy Miller, and Lan Peng
- Subjects
010302 applied physics ,Materials science ,Polishing ,02 engineering and technology ,Edge (geometry) ,021001 nanoscience & nanotechnology ,01 natural sciences ,Computer Science Applications ,Electronic, Optical and Magnetic Materials ,Grinding ,Stress (mechanics) ,symbols.namesake ,Mechanics of Materials ,0103 physical sciences ,symbols ,Wafer ,Trimming ,Dry etching ,Electrical and Electronic Engineering ,Composite material ,0210 nano-technology ,Raman spectroscopy - Abstract
The diamond abrasive process which is applied onto the silicon wafer edge, the so called “edge trimming,” is an important step in three-dimensional microelectronics processing technology, due to the significant thickness reduction of the wafer after thinning. Nevertheless, the wafer edge defects caused by edge trimming have often been overlooked. Although the mechanisms of the formation of the defects in Si due to trimming may be similar to the ones caused by grinding, an in-depth study and risk assessment have not been done yet. In addition, the variety of stress relief processing options can give different morphology and defect removal behavior on the edge trimmed Si sidewall. In a first study, we used transmission electron microscopy and Raman spectroscopy to analyze the defects caused by edge trimming. We show the presence of a continuous layer of amorphous Si and of different phases of Si, caused by edge trimming. A comparison of the damage induced in the Si by two different integration schemes is also discussed. When polishing is used for stress release, the observed sidewall defects stay, since the polishing force is only applied on the top surface of the wafer. On the other hand, the damage is completely removed for the case of wet and dry etching. The surface chemical reactions occurring at the surface during these processes are also acting on the Si sidewall. These findings provide a workable edge trimming and stress relief method for permanently bonded wafers, with many industrial applications.
- Published
- 2018
45. Advances in Temporary Bonding and Release Technology for Ultrathin Substrate Processing and High-Density Fan-Out Device Build-up
- Author
-
Alain Phommahaxay, Dongshun Bai, Arnita Podpod, Erik Sleeckx, John Slabbekoorn, Alice Guerrero, Gerald Beyer, Kim Arnold, and Eric Beyne
- Subjects
Materials science ,Wafer bonding ,Hardware_INTEGRATEDCIRCUITS ,High density ,Fan-out ,Wafer ,Hardware_PERFORMANCEANDRELIABILITY ,Substrate (printing) ,Engineering physics ,First generation - Abstract
Thin substrate handling has become one of the cornerstone technologies that enabled the development of 3D stacked ICs over the past years. Temporary wafer bonding has continuously improved and reached the maturity level required by volume manufacturing of first generation devices. Yet the need for further development and performance increases remains. Indeed, the continuous push for denser interconnects has brought new requirements for a through-silicon-via technology on one side but also pushed temporary adhesive and carrier technology into the space of wafer reconstruction and fan-out WLP.
- Published
- 2018
46. A Novel Fan-Out Concept for Ultra-High Chip-to-Chip Interconnect Density with 20-µm Pitch
- Author
-
Andy Miller, Gerald Beyer, Mario Gonzalez, Arnita Podpod, Alain Phommahaxay, Abdellah Salahouelhadj, Fabrice Duval, Eric Beyne, John Slabbekoorn, and Kenneth June Rebibis
- Subjects
010302 applied physics ,Interconnection ,Computer science ,business.industry ,Bandwidth (signal processing) ,Electrical engineering ,Process (computing) ,Fan-out ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Chip ,01 natural sciences ,Die (integrated circuit) ,Substrate (building) ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,0210 nano-technology ,business - Abstract
The rapid growth of data bandwidth required between logic and memory chips for next generation device nodes is progressively pushing low I/O count serial busses to their limits. To further satisfy this increasing need for high data rates, wider I/O count busses are now being developed and established. Over the past years, various Fan-Out Wafer-Level-Packaging (FOWLP) approaches have been developed to answer the needs mentioned above and the increasingly demanding function integration on package. Imec has been working on a novel 300mm Fan-Out Wafer-Level-Packaging concept that enables 20µm pitch interconnect density. Results from experiments demonstrates wafer bow below 500µm after molding on silicon substrate with ultra-low die shift with maximum die to carrier mismatch below 10µm on full 300mm wafers. Further warpage and die shift evolution are expected depending on the process steps the wafers must go through and will be further discussed.
- Published
- 2018
47. Extreme Thinned-Wafer Bonding Using Low Temperature Curable Polyimide for Advanced Wafer Level Integrations
- Author
-
Erik Sleeckx, Serena Iacovo, Fumihiro Inoue, Lan Peng, Gerald Beyer, Alain Phommahaxay, Atsushi Nakamura, Kenneth Rebibs, Nouredine Rassoul, Eric Beyne, Julien Bertheau, and Andy Miller
- Subjects
010302 applied physics ,Materials science ,Wafer bonding ,Polishing ,02 engineering and technology ,Substrate (printing) ,021001 nanoscience & nanotechnology ,01 natural sciences ,Grinding ,Chemical-mechanical planarization ,0103 physical sciences ,Wafer ,Adhesive ,Dry etching ,Composite material ,0210 nano-technology - Abstract
Extreme thinned wafer transfer technologies have been demonstrated by combining a selected set of temporary and permanent bonding materials. The extreme thinning was performed on the backside of a top wafer bonded on carrier wafer with the temporary glue material, subsequently followed by grinding, polishing and plasma dry etching to a final thickness of 5 µm. The properties of the temporary adhesive have been selected to be compatible with a permanent thermocompression bond of the extreme thin wafer to a final target substrate. Thus, the high thermal deformation resistance of the temporary adhesive is key. As we are dealing with extremely thin substrate, the required process uniformity and total thickness variation of each material are crucial. Hence after the spin-coating, the permanent bond polymer was planarized by a surface planer process. The performance benefit brought by this process and the final transfer steps will also be discussed.
- Published
- 2018
48. Scalable, sub 2μm pitch, Cu/SiCN to Cu/SiCN hybrid wafer-to-wafer bonding technology
- Author
-
Joke De Messemaeker, Oguzhan Orkut Okudur, Michele Stucchi, Eric Beyne, Gerald Beyer, Lan Peng, Dimitrios Velenis, Soon-Wook Kim, Andy Miller, Tae-Gon Kim, Nancy Heylen, and Alain Phommahaxay
- Subjects
Materials science ,Annealing (metallurgy) ,Wafer bonding ,Electrical resistivity and conductivity ,Wafer ,Dielectric ,Composite material ,Bond energy - Abstract
This paper presents a novel approach to face-to-face wafer-to-wafer (W2W) bonding using SiCN-to-SiCN dielectric bonding, in combination with direct Cu-Cu bonding using Cu pads of unequal size and surface topography for the top and bottom wafers. The use of SiCN dielectrics allows to obtain a high W2W bonding energy (> 2 J/m2) at low annealing temperature (250 °C). Excellent Cu-Cu bonding is obtained after annealing at 350 °C. A novel CMP process, resulting in a slightly protruding Cu top pad and a slightly recessed Cu bottom pad, is introduced. The difference in pad sizes, allows for the necessary W2W overlay bonding tolerances. Excellent resistivity and yield results are obtained across bonded 300 mm Si wafers for scaled 360 nm top pads bonded to 720 nm bottom pads at 1.44 μm pitch (25% bottom Cu density). Feasibility of smaller pitches has been demonstrated by successfully bonding 180 nm top pads to 540 nm bottom pads at 0.72 μm pitch.
- Published
- 2017
49. A Unique Temporary Bond Solution Based on a Polymeric Material Tacky at Room Temperature and Highly Thermally Resistant Application Extension from 3D-SIC to FO-WLP
- Author
-
Teng Wang, Gerald Beyer, Fabrice Duval, Arnita Podpod, Goedele Potoms, Julien Bertheau, Yoshitaka Kamochi, Greet Verbinnen, Pieter Bex, Erik Sleeckx, Atsushi Nakamura, Alain Phommahaxay, and Eric Beyne
- Subjects
Adhesive materials ,Materials science ,Silicon ,chemistry ,Wafer thinning ,Bond ,Hardware_INTEGRATEDCIRCUITS ,chemistry.chemical_element ,Nanotechnology ,Hardware_PERFORMANCEANDRELIABILITY ,Adhesive ,Process complexity ,First generation - Abstract
Among the technological developments pushed by the adoption of Through Silicon Vias and 3D Stacked IC technologies, wafer thinning on a temporary carrier has become a critical element in device processing over the past years. First generation of adhesive materials enabled the integration of the first devices at the expense of capping the thermal budget. Hence new generation materials are being explored to overcome this limitation and further bring the process complexity down.
- Published
- 2017
50. Characterization of inorganic dielectric layers for low thermal budget wafer-to-wafer bonding
- Author
-
Andy Miller, Fumihiro Inoue, Soon-Wook Kim, Gerald Beyer, Lan Peng, Eric Beyne, Erik Sleeckx, J. De Vos, and Alain Phommahaxay
- Subjects
Materials science ,Annealing (metallurgy) ,Wafer bonding ,Analytical chemistry ,Bonding in solids ,02 engineering and technology ,Dielectric ,Thermocompression bonding ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Anodic bonding ,Wafer ,Bond energy ,Composite material ,0210 nano-technology - Abstract
The reduction of post annealing temperature for plasma activated dielectric bonding was achieved by using SiCN as dielectrics layer. The SiCN-SiCN bonding shows higher bond energy at 250 °C as compared to conventional SiOi-SiOi bonding. The surface and interface of the SiCN bonding dielectric layers were characterized by various quantitative and qualitative methodologies. This alternative bonding dielectric allows development of extremely thin 3D integration devices with minimal thermal budget at bonding step.
- Published
- 2017
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.