Search

Your search keyword '"Alain C. Diebold"' showing total 401 results

Search Constraints

Start Over You searched for: Author "Alain C. Diebold" Remove constraint Author: "Alain C. Diebold"
401 results on '"Alain C. Diebold"'

Search Results

1. Perspective: Optical measurement of feature dimensions and shapes by scatterometry

3. Implementation of high-performance and high-yield nanoscale hafnium zirconium oxide based ferroelectric tunnel junction devices on 300 mm wafer platform

4. Structural Correlation of Ferroelectric Behavior in Mixed Hafnia-Zirconia High-k Dielectrics for FeRAM and NCFET Applications

5. Ferroelectric and Antiferroelectric Hf/Zr oxide films: past, present and future

6. Scatterometry of nanowire/ nanosheet FETs for advanced technology nodes

7. X-Ray metrology of nanowire/ nanosheet FETs for advanced technology nodes

8. Metrology for advanced transistor and memristor devices and materials

9. Fermi Level Manipulation through Native Doping in the Topological Insulator Bi2Se3

10. Spectroscopic imaging ellipsometry for automated search of flakes of mono- and n-layers of 2D-materials

11. Optical characterization of multi-NST nanowire test structures using Mueller matrix spectroscopic ellipsometry (MMSE) based scatterometry for sub 5nm nodes

12. Ferroelectric Phase Content in 7 nm Hf (1− x ) Zr x O 2 Thin Films Determined by X‐Ray‐Based Methods

13. Quantifying non-centrosymmetric orthorhombic phase fraction in 10 nm ferroelectric Hf0.5Zr0.5O2 films

14. Spectroscopic ellipsometry studies of 3-stage deposition of CuIn1−xGaxSe2 on Mo-coated glass and stainless steel substrates

15. Higher-k Tetragonal Phase Stabilization in Atomic Layer Deposited Hf1-xZrxO2 (0<x<1) Thin Films on Al2O3 Passivated Epitaxial-Ge

16. In Situ Ramp Anneal X-ray Diffraction Study of Atomic Layer Deposited Ultrathin TaN and Ta1-xAlxNyFilms for Cu Diffusion Barrier Applications

17. Optical second harmonic generation from silicon (100) crystals with process tailored surface and embedded silver nanostructures for silicon nonlinear nanophotonics

18. Nondestructive characterization of nanoscale subsurface features fabricated by selective etching of multilayered nanowire test structures using Mueller matrix spectroscopic ellipsometry based scatterometry

19. Optical second harmonic generation from silicon with embedded silver nanostructures

20. Mueller matrix spectroscopic ellipsometry based scatterometry simulations of Si and Si/SixGe1-x/Si/SixGe1-x/Si fins for sub-7nm node gate-all-around transistor metrology

22. Transiently stimulated second-harmonic generation from silicon nanogratings

23. Electrical Enhancement and Higher-K Engineering in Ultra-Thin Atomic Layer Deposited Hf1-xAlxOyFilms

24. Thickness and Rotational Effects in Simulated HRTEM Images of Graphene on Hexagonal Boron Nitride

25. Higher-K Formation in Atomic Layer Deposited Hf1-XAlxOy

26. Advancements in Ellipsometric and Scatterometric Analysis

27. Enhanced Optical Second-Harmonic Generation from the Current-Biased Graphene/SiO2/Si(001) Structure

28. Tracking quintuple layer oxidation on cleavedBi2Se3by optical second-harmonic anisotropy

29. Modeling ellipsometric measurement of novel 3D structures with RCWA and FEM simulations

30. Aberration Corrected Microscopy of CVD Graphene and Spectroscopic Ellipsometry of Epitaxial Graphene and CVD Graphene for Comparison of the Dielectric Function

31. 3D-interconnect: Visualization of extrusion and voids induced in copper-filled through-silicon vias (TSVs) at various temperatures using X-ray microscopy

32. 3D Interconnect Technology

33. Crystallinity of Electrically Scaled Atomic Layer Deposited HfO2from a Cyclical Deposition and Annealing Scheme

34. Spectroscopic Ellipsometry of CVD Graphene

35. Extension of Far UV spectroscopic ellipsometry studies of High-κ dielectric films to 130 nm

36. Investigation of optical properties of benzocyclobutene wafer bonding layer used for 3D interconnects via infrared spectroscopic ellipsometry

37. Dielectric properties and thickness metrology of strain engineered GaN/AlN/Si (111) thin films grown by MOCVD

38. Spectroscopic ellipsometry characterization of high-k gate stacks with Vt shift layers

39. A Path Toward Non-Destructive 3D Metrology for Through-Silicon Vias

41. (Invited) Teaching a New Dog Old Tricks: Ferroelectric HfZrO Films and Devices

42. Perspective: Optical measurement of feature dimensions and shapes by scatterometry

43. Graphene metrology and devices

44. Observation of interfacial electrostatic field-induced changes in the silicon dielectric function using spectroscopic ellipsometry

45. Observation of quantum confinement and quantum size effects

46. Spatial Distributions of Trapping Centers in $ \hbox{HfO}_{2}/\hbox{SiO}_{2}$ Gate Stack

47. Silicon fin line edge roughness determination and sensitivity analysis by Mueller matrix spectroscopic ellipsometry based scatterometry

48. Optical CD metrology for directed self-assembly assisted contact hole shrink process

49. Scatterometric analysis of a plasmonic test structure

50. Application of Aberration-Corrected TEM and Image Simulation to Nanoelectronics and Nanotechnology

Catalog

Books, media, physical & digital resources