Search

Your search keyword '"Alain C. Diebold"' showing total 401 results

Search Constraints

Start Over You searched for: Author "Alain C. Diebold" Remove constraint Author: "Alain C. Diebold"
401 results on '"Alain C. Diebold"'

Search Results

251. Metrology For Emerging Research Materials And Devices

252. Protocol Optimisation For Work-Function Measurements Of Metal Gates Using Kelvin Force Microscopy

253. Zero-Order and Super-Resolved Imaging of Arrayed Nanoscale Lines using Scatterfield Microscopy

254. Thin-Film Nanocalorimetry: A New Approach to the Evaluation of Interfacial Stability for Nanoelectronic Applications

255. NIST Traceable Small Signal Surface Photo Voltage Reference Wafer

256. Micro-Probe CV and IV Characterization of Thin Dielectric Films on Product-Wafer Scribe-Line Structures

257. Asymmetric Relaxation of SiGe in Patterned Si Line Structures

258. Combinatorial Methodologies Applied to the Advanced CMOS Gate Stack

259. Analytics and Metrology of Strained Silicon Structures by Raman and Nano-Raman Spectroscopy

260. Doping Nanocrystals and the Role of Quantum Confinement

261. CEA-LETI As A European Model Of Cooperation In Nanoelectronics

262. Optical Characterization Methods for Identifying Charge Trapping States in Thin Dielectric Films

263. Energy-filtered Photoelectron Emission Microscopy (EF-PEEM) for imaging nanoelectronic materials

264. Nanoelectronics: Metrology and Computation

265. Real-Time, High Resolution, Dynamic Surface Charge Wafer Mapping for Advanced Ion Implant Process Control

266. VUV Reflectometry for Thin Film Thickness & Composition Metrology and Process Development & Control

267. Extending Conventional Scatterometry Using Generalized Ellipsometry

268. Metrology and Optical Characterization of Plasma Enhanced Chemical Vapor Deposition, (PECVD), low temperature deposited Amorphous Carbon films

269. Characterization of Copper Line Array Erosion with Picosecond Ultrasonics

270. Silicon loss metrology using synchrotron x-ray reflectance and Bragg diffraction

271. Comparison Of Several Metrology Techniques For In-line Process Monitoring Of Porous SiOCH

272. Coupling of Advanced Optical and Chemical Characterization Techniques for Optimization of High-κ Dielectrics with Nanometer Range Thickness

273. Automated Metrology for SEM Calibration and CD Line Measurements Using Image Analysis and SEM Modeling Methods

274. AFM tips for 58 nm and smaller node applications

275. Use of Drop-on-Demand Inkjet Printing Technology to Produce Trace Metal Contamination Standards For the Semiconductor Industry

276. An Introduction to the Helium Ion Microscope

277. Chemical Mechanical Planarization (CMP) Metrology for 45∕32 nm Technology Generations

278. Metrology for Grayscale Lithography

279. Under-bump Metallization (UBM) Control using X-ray Fluorescence (XRF)

280. Analysis of Nickel Silicides by SIMS and LEAP

281. Metrology and Characterization for Extending Silicon CMOS

282. Leakage Current and Dopant Activation Characterization of SDE∕Halo CMOS Junctions with Non-Contact Junction Photo-Voltage Metrology

283. Methods to Characterize the Electrical and Mechanical Properties of Si Nanowires

284. Application of Non-contact Corona-Kelvin metrology for Characterization of Plasma Nitrided SiO[sub 2]

285. Nano-Raman Spectroscopy is Reaching Semiconductors

286. Strength and Fracture Measurements at the Nano Scale

287. Direct Monitoring of EOT-J[sub LEAK] Characteristics for SiON and High-k Gate Dielectrics

288. Laser Scattering: a Fast, Sensitive, In-Line Technique for Advanced Process Development and Monitoring

289. Enhancement Of Infrared Spectroscopy Capabilities For Nanoelectronic And Nanotechnology Applications

290. Gate Metal-Induced Diffusion and Interface Reactions in Hf Oxide Films on Si

291. Linewidth Measurement Based on Automatically Matched and Stitched AFM Images

292. New Materials and Structures for Transistors based on Spin, Charge and Wavefunction Phase Control

293. Surface Potential Mapping and Charge Center Detection on Oxidized Silicon Surfaces by Vacuum-Gap Modulation Scanning Tunneling Spectroscopy

294. Nano-Raman: Monitoring Nanoscale Stress

295. Front Matter for Volume 931

296. Electrical Properties of Hybrid-Orientation Silicon Bonded Interfaces

297. X-Ray Reflectometry Determination of Structural Information from Atomic Layer Deposition Nanometer-scale Hafnium Oxide Thin Films

298. Ultra Low-κ Metrology Using X-Ray Reflectivity And Small-Angle X-Ray Scattering Techniques

299. SIMS Analyses Of Ultra-Low Energy B Ion Implants In Si: Evaluation Of Profile Shape And Dose Accuracy

300. The Continuous Anodic Oxidation Technique

Catalog

Books, media, physical & digital resources