468 results on '"Janusz Rajski"'
Search Results
202. Effective Design of Layout-Friendly EDT Decompressor
- Author
-
Nilanjan Mukherjee, Yu Huang, Jeffrey Mayer, Janusz Rajski, and Mark Kassab
- Subjects
business.industry ,Computer science ,Compression (functional analysis) ,Encoding (memory) ,Routing congestion ,Code coverage ,Data_CODINGANDINFORMATIONTHEORY ,business ,Design methods ,Computer hardware ,Communication channel - Abstract
This paper proposes an innovative design methodology for layout-friendly decompressor used in EDT compression architecture. A segmented decompressor architecture is proposed, in which each segment drives a subset of scan chains. The EDT input channel injectors are carefully selected to maximize the encoding capacity for all scan chains. Experimental results with several large industrial designs demonstrate that using the proposed technology, the routing congestion introduced by EDT decompressor is reduced significantly with negligible impact on test coverage and improved pattern count.
- Published
- 2020
203. Built-In Self-Test for Systems on Silicon.
- Author
-
Janusz Rajski, Jerzy Tyszer, and Sanjay Patel
- Published
- 1999
204. Embedded Deterministic Test Points
- Author
-
Janusz Rajski, Derek Feltham, Nilanjan Mukherjee, Elham Moghaddam, Sudhakar M. Reddy, Justyna Zawada, Yingdi Liu, Cesar Acero, Marek Patyra, and Jerzy Tyszer
- Subjects
Engineering ,business.industry ,Design for testing ,020208 electrical & electronic engineering ,Test compression ,02 engineering and technology ,Automatic test pattern generation ,020202 computer hardware & architecture ,Test (assessment) ,Hardware and Architecture ,Logic gate ,Fault coverage ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and Electronic Engineering ,business ,Algorithm ,Software ,Test data ,Volume (compression) - Abstract
There is mounting evidence that automatic test pattern generation tools capable of producing tests with high coverage of defects occurring in the large semiconductor nanometer designs unprecedentedly inflate test sets and test application times. A design-for-test technique presented in this paper aims at reducing deterministic pattern counts and test data volume through the insertion of conflict-aware test points. This methodology identifies and resolves conflicts across internal signals allowing test generation to increase the number of faults targeted by a single pattern. This is complemented by a method to minimize silicon area needed to implement conflict-aware test points. The proposed approach takes advantage of the conflict analysis and reuses functional flip-flops as drivers of control points. Experimental results on industrial designs with on-chip test compression demonstrate that the proposed test points are effective in achieving, on average, an additional factor of $2\times $ – $4\times $ compression for stuck-at and transition patterns over the best up-to-date results provided by the embedded deterministic test (EDT)-based regular compression.
- Published
- 2017
205. Star-EDT: Deterministic On-Chip Scheme Using Compressed Test Patterns
- Author
-
Janusz Rajski, Lukasz Rybak, Jerzy Tyszer, Jedrzej Solecki, and Grzegorz Mrugalski
- Subjects
Engineering ,business.industry ,Design for testing ,Test compression ,02 engineering and technology ,Parallel computing ,Automatic test pattern generation ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,Built-in self-test ,Encoding (memory) ,Fault coverage ,Compression ratio ,0202 electrical engineering, electronic engineering, information engineering ,System on a chip ,Electrical and Electronic Engineering ,business ,Algorithm ,Software - Abstract
This paper presents Star-EDT—a novel deterministic test compression scheme. The proposed solution seamlessly integrates with EDT-based compression and takes advantage of two key observations: 1) there exist clusters of test vectors that can detect many random-resistant faults with a cluster comprising a parent pattern and its derivatives obtained through simple transformations and 2) a significant majority of specified positions of ATPG-produced test cubes are typically clustered within a single or, at most, a few scan chains. The Star-EDT approach elevates compression ratios to values typically unachievable through conventional reseeding-based solutions. Experimental results obtained for large industrial designs, including those with a new class of test points aware of ATPG-induced conflicts, illustrate feasibility of the proposed deterministic test scheme and are reported herein. In particular, they confirm that the Star-EDT can act as a valuable form of deterministic BIST.
- Published
- 2017
206. Trimodal Scan-Based Test Paradigm
- Author
-
Chen Wang, Janusz Rajski, Jerzy Tyszer, Jedrzej Solecki, and Grzegorz Mrugalski
- Subjects
Scheme (programming language) ,Engineering ,business.industry ,Design for testing ,Real-time computing ,Scan chain ,Test compression ,020206 networking & telecommunications ,02 engineering and technology ,Interval (mathematics) ,020202 computer hardware & architecture ,Computer engineering ,Built-in self-test ,Hardware and Architecture ,Factor (programming language) ,Fault coverage ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and Electronic Engineering ,business ,computer ,Software ,computer.programming_language - Abstract
This paper presents a novel scan-based design for test (DFT) paradigm. Compared with conventional scan, the presented approach either significantly reduces test application time while preserving high fault coverage or allows applying a much larger number of vectors within the same time interval. An equally important factor is the toggling activity during test—with this scheme, it remains similar to that of the mission mode. Several techniques are introduced that allow integration of the proposed scheme with the state-of-the-art test generation and application methods. In particular, the new scheme uses redesigned scan cells to dynamically configure scan chains into different modes of operation for use with the underlying test-per-clock principle. The experimental results obtained for large and complex industrial application-specific IC designs illustrate the feasibility of the proposed test scheme despite additional costs and efforts entailed in consolidating architectural changes and operations across a DFT flow.
- Published
- 2017
207. Logic Diagnosis and Yield Learning.
- Author
-
Janusz Rajski
- Published
- 2007
- Full Text
- View/download PDF
208. On New Test Points for Compact Cell-Aware Tests
- Author
-
Justyna Zawada, Vidya Neerkundar, Nilanjan Mukherjee, Marek Patyra, Janusz Rajski, Derek Feltham, Cesar Acero, Friedrich Hapke, Jerzy Tyszer, and Elham Moghaddam
- Subjects
Computer science ,020208 electrical & electronic engineering ,Test compression ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Automatic test pattern generation ,020202 computer hardware & architecture ,Reliability engineering ,Test (assessment) ,Controllability ,Stuck-at fault ,Hardware and Architecture ,Logic gate ,Fault coverage ,0202 electrical engineering, electronic engineering, information engineering ,Process control ,Electrical and Electronic Engineering ,Software - Abstract
Test points are known to improve the fault coverage in BIST applications. This article discusses a new class of test points used to improve the ATPG pattern count in designs that employ embedded deterministic test.
- Published
- 2016
209. Test Time and Area Optimized BrST Scheme for Automotive ICs
- Author
-
Janusz Rajski, Nilanjan Mukherjee, Yingdi Liu, Sylwester Milewski, Jedrzej Solecki, Mahendar Sapati, Daniel Tille, Jeffrey Mayer, Elham Moghaddam, and Jerzy Tyszer
- Subjects
Functional safety ,Computer science ,business.industry ,Code coverage ,Automotive industry ,Advanced driver assistance systems ,02 engineering and technology ,Automotive electronics ,020202 computer hardware & architecture ,Reliability engineering ,Microcontroller ,Built-in self-test ,0202 electrical engineering, electronic engineering, information engineering ,Overhead (computing) ,business - Abstract
As cars become increasingly computerized and their safety functions are evolving rapidly, the number of complex safety-critical components deployed in advanced driver assistance systems or autonomous vehicles is progressively rising with high-end models containing more than a hundred embedded microcontrollers. These integrated circuits must adhere to stringent requirements for high quality and long-term reliability driven by functional safety standards. This requires test solutions that address challenges posed by automotive electronics. The paper presents a scan-based LBIST scheme optimizing test time and area overhead during in-system test applications for automotive ICs. It ensures highly reliable operations of ICs for the duration of their lifespan. The proposed scheme works with observation test points that capture faulty effects every shift cycle into separate observation scan chains. To reduce area overhead, the scheme takes advantage of a procedure allowing one to share flip-flops among control points. It is also shown how test points can enhance test coverage in the presence of cascaded clock gaters. Finally, processing challenges when fault simulating every scan shift cycle to determine observed faults are addressed. Experimental results obtained for contemporary automotive designs and reported herein show significant improvements in quality of test over traditional BIST schemes.
- Published
- 2019
210. On Cyclic Scan Integrity Tests for EDT-based Compression
- Author
-
Janusz Rajski, Maciej Trawka, Grzegorz Mrugalski, Wu-Tung Cheng, and Jerzy Tyszer
- Subjects
Computer science ,Test quality ,0202 electrical engineering, electronic engineering, information engineering ,Overhead (computing) ,Test compression ,Test data compression ,02 engineering and technology ,Isolation (database systems) ,Compression (physics) ,020202 computer hardware & architecture ,Reliability engineering ,Test (assessment) ,Voltage - Abstract
The semiconductor industry ramping up design capabilities for emerging technologies is facing unprecedented test quality and yield management challenges. To facilitate diagnosis of yield issues and to enable repair processes, an accurate defect isolation is needed with support of more advanced test, diagnostic, and yield analysis tools. Scan remains instrumental in developing more advanced DFT technologies, including logic BIST and on-chip test data compression. Its reliable operations are essential for test pattern bring-up, failure analysis, and yield learning. This paper demonstrates how to re-architect on-chip test data compression environment to enable multiple and repeated scan integrity tests for advanced test procedures, including various forms of stroboscopic electron-beam imaging and laser voltage imaging. The presented approach avoids the repetitive loading of scan chains and therefore reduces significantly test time and may support advanced diagnostic techniques. The new solution has virtually no area overhead, and does not compromise the performance of the original test logic.
- Published
- 2019
211. Systems On Silicon: Design and Test Challenges.
- Author
-
J. Borel, M. Cecchini, C. Malipeddi, Janusz Rajski, and Yervant Zorian
- Published
- 1997
- Full Text
- View/download PDF
212. Compressed pattern diagnosis for scan chain failures.
- Author
-
Yu Huang 0005, Wu-Tung Cheng, and Janusz Rajski
- Published
- 2005
- Full Text
- View/download PDF
213. Test compression - real issues and matching solutions.
- Author
-
Janusz Rajski
- Published
- 2005
- Full Text
- View/download PDF
214. Diagnosis with convolutional compactors in presence of unknown states.
- Author
-
Grzegorz Mrugalski, Artur Pogiel, Janusz Rajski, and Jerzy Tyszer
- Published
- 2005
- Full Text
- View/download PDF
215. Hardware-Software Co-Design for Test: It's the Last Straw!
- Author
-
J. El-Ziq, Najmi T. Jarwala, Niraj K. Jha, Peter Marwedel, Christos A. Papachristou, Janusz Rajski, and John W. Sheppard
- Published
- 1996
- Full Text
- View/download PDF
216. Test Data Compression and Compaction for Embedded Test of Nanometer Technology Designs.
- Author
-
Janusz Rajski and Jerzy Tyszer
- Published
- 2003
- Full Text
- View/download PDF
217. Multiple Fault Diagnosis Using n-Detection Tests.
- Author
-
Zhiyuan Wang, Malgorzata Marek-Sadowska, Kun-Han Tsai, and Janusz Rajski
- Published
- 2003
- Full Text
- View/download PDF
218. Improved Algorithms for Constructive Multi-Phase Test Point Insertion for Scan Based BIST.
- Author
-
Nadir Z. Basturkmen, Sudhakar M. Reddy, and Janusz Rajski
- Published
- 2002
- Full Text
- View/download PDF
219. Finding a Common Fault Response for Diagnosis during Silicon Debug.
- Author
-
Irith Pomeranz, Janusz Rajski, and Sudhakar M. Reddy
- Published
- 2002
- Full Text
- View/download PDF
220. DFT for High-Quality Low Cost Manufacturing Test.
- Author
-
Janusz Rajski
- Published
- 2001
- Full Text
- View/download PDF
221. DPPM Reduction Methods and New Defect Oriented Test Methods Applied to Advanced FinFET Technologies
- Author
-
Srikanth Venkataraman, W. Redemund, Janusz Rajski, Rudrajit Datta, A. Glowatz, J. Schmerberg, Friedrich Hapke, W. Howell, A. Fast, and E. Brazil
- Subjects
Computer science ,Transistor ,0211 other engineering and technologies ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Chip ,Reduction methods ,020202 computer hardware & architecture ,law.invention ,Reduction (complexity) ,law ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,021106 design practice & management - Abstract
This paper presents DPPM reduction results achieved with new Defect Oriented Test (DOT) methods/patterns applied to designs manufactured in advanced FinFET technologies. Focus of this paper is on Timing-Aware Cell-Aware Test (TA-CAT) patterns targeting small-delay defects of FinFET transistors, and a new DOT method which explicitly targets chip layout dependent cell-neighborhood defects. Test results from traditional Stuck-at/Transition patterns, from traditional CAT patterns, from TA-CAT patterns, and as well from cell-neighborhood patterns, applied to FinFET technology designs, will be presented in this paper. In addition, a correlation to System-Level-Test fails will be discussed.
- Published
- 2018
222. Deterministic Stellar BIST for In-System Automotive Test
- Author
-
Nilanjan Mukherjee, Yingdi Liu, Jerzy Tyszer, Sudhakar M. Reddy, and Janusz Rajski
- Subjects
Functional safety ,Scheme (programming language) ,business.industry ,Computer science ,media_common.quotation_subject ,Reliability (computer networking) ,Automotive industry ,Advanced driver assistance systems ,02 engineering and technology ,Integrated circuit ,020202 computer hardware & architecture ,law.invention ,Computer engineering ,law ,Compression (functional analysis) ,0202 electrical engineering, electronic engineering, information engineering ,Quality (business) ,business ,computer ,media_common ,computer.programming_language - Abstract
With the growing number of very complex safety-critical components used in advanced driver assistance systems and autonomous vehicles, integrated circuits in this area must adhere to stringent requirements for high quality and long-term reliability driven by functional safety standards. This, in turn, requires advanced test solutions that have to respond to challenges posed by automotive parts. This paper presents Stellar BIST - a deterministic two-level compression scheme for in-system automotive test. The proposed solution seamlessly integrates with any sequential test compression scheme and takes advantage of the fact that certain clusters of test vectors detect many random-resistant faults where a cluster consists of a parent pattern and its transformed derivatives. Stellar BIST involves generating vectors based on simultaneous and multiple complements of scan slices of encodable parent patterns. The multiple complements are also skewed between successive patterns to diversify the resultant tests. The new scheme elevates compression to values unachievable through conventional reseeding-based solutions and provides significant trade-offs between area and time, critical for in-system automotive applications. Experimental results obtained for large industrial designs with stuck-at and transition faults illustrate feasibility of the proposed test scheme and are reported herein.
- Published
- 2018
223. On New Class of Test Points and Their Applications
- Author
-
Justyna Zawada, Jerzy Tyszer, and Janusz Rajski
- Subjects
Reverse engineering ,Hardware security module ,Record locking ,Cloning (programming) ,Computer science ,Code coverage ,Test compression ,02 engineering and technology ,computer.software_genre ,020202 computer hardware & architecture ,Test (assessment) ,Computer engineering ,0202 electrical engineering, electronic engineering, information engineering ,computer ,Test point insertion - Abstract
This is the extended summary of the PhD thesis on new test point insertion techniques. The thesis provides a comprehensive study of innovative DFT schemes going far beyond traditional logic BIST-based applications of test points. The proposed methods visibly decrease pattern counts, reduce test generation and test application times, and increase test coverage by means of algorithms capable of identifying and resolving conflicts between circuit’s internal signals. In particular, it is shown that new test points provide, on the average, 2x-3x increase in test compression for stuck-at, transition and cell-aware patterns. Furthermore, it is demonstrated that test-point-centric DFT logic can be successfully used to lock a circuit or hide its functionality. As a result, this approach improves the overall hardware security against reverse engineering, IC cloning, and IP theft.
- Published
- 2018
224. Hypercompression of Test Patterns
- Author
-
Yu Huang, Jerzy Tyszer, Sylwester Milewski, Chen Wang, and Janusz Rajski
- Subjects
Scheme (programming language) ,Computer science ,Code coverage ,Test data compression ,02 engineering and technology ,Automatic test pattern generation ,020202 computer hardware & architecture ,Test (assessment) ,Template ,Encoding (memory) ,Compression (functional analysis) ,0202 electrical engineering, electronic engineering, information engineering ,computer ,Algorithm ,computer.programming_language - Abstract
The paper presents a novel test data compression scheme. This low-silicon-area solution builds on the isometric compression paradigm, but makes it more flexible, elevates encoding efficiency to values unachievable through any conventional type of sequential compression, and ensures high test coverage due to programmable selection of full toggle scan chains. The presented approach follows from a fundamental observation that only a few specified positions in test cubes are necessary to detect faults, while the remaining ones have alternative sites. Such test cubes are used to form circular test templates which synergistically control a decompressor and guide ATPG to find assignments yielding highly compressible test cubes. A redesigned decompressor is also capable of reducing switching rates in scan chains with a new test power control scheme. Experimental results obtained for large industrial designs confirm superiority of the proposed scheme over state-of-the-art techniques and are reported herein.
- Published
- 2018
225. Isometric Test Data Compression
- Author
-
Mark Kassab, Chen Wang, Amit Kumar, Sudhakar M. Reddy, Jerzy Tyszer, Elham Moghaddam, Janusz Rajski, and Nilanjan Mukherjee
- Subjects
Engineering ,business.industry ,Real-time computing ,Test compression ,Automatic test pattern generation ,Residual ,Fault (power engineering) ,Computer Graphics and Computer-Aided Design ,Encoding (memory) ,Compression ratio ,System on a chip ,Electrical and Electronic Engineering ,Cube ,business ,Algorithm ,Software - Abstract
This paper introduces a novel test data compression scheme, which is primarily devised for low-power test applications. It is based on a fundamental observation that in addition to low test cube fill rates, a very few specified bits, necessary to detect a fault, are actually irreplaceable, whereas the remaining ones can be placed in alternative locations (scan cells). The former assignments are used to create residual test cubes and, subsequently, test templates. They control a power-aware decompressor and guide automatic test pattern generation to produce highly compressible test patterns through finding alternative assignments. The proposed approach reduces, in a user-controlled manner, scan shift-in switching rates with minimal hardware modifications. It also elevates compression ratios to values typically unachievable through conventional low-power reseeding-based solutions. Experimental results obtained for large industrial designs illustrate feasibility of the proposed test scheme and are reported herein.
- Published
- 2015
226. Scan Test Bandwidth Management for Ultralarge-Scale System-on-Chip Architectures
- Author
-
Jakub Janicki, Mark Kassab, Jerzy Tyszer, Wu-Tung Cheng, Nilanjan Mukherjee, Janusz Rajski, Grady Gilles, Yan Dong, Yu Huang, and Grzegorz Mrugalski
- Subjects
Bandwidth management ,Engineering ,Channel allocation schemes ,business.industry ,Test compression ,Scheduling (computing) ,Automatic test equipment ,Hardware and Architecture ,Embedded system ,System on a chip ,Electrical and Electronic Engineering ,business ,Software ,Communication channel ,Data compression - Abstract
This paper presents several techniques employed to resolve problems surfacing when applying scan bandwidth management to large industrial multicore system-on-chip (SoC) designs with embedded test data compression. These designs pose significant challenges to the channel management scheme, flow, and tools. This paper introduces several test logic architectures that facilitate preemptive test scheduling for SoC circuits with embedded deterministic test-based test data compression. The same solutions allow efficient handling of physical constraints in realistic applications. Finally, state-of-the-art SoC test scheduling algorithms are rearchitected accordingly by making provisions for: 1) setting up time-effective test configurations; 2) optimization of SoC pin partitions; 3) allocation of core-level channels based on scan data volume; and 4) more flexible core-wise usage of automatic test equipment channel resources. A detailed case study is illustrated herein with a variety of experiments allowing one to learn how to tradeoff different architectures and test-related factors.
- Published
- 2015
227. Full-scan LBIST with capture-per-cycle hybrid test points
- Author
-
Justyna Zawada, Nilanjan Mukherjee, Sylwester Milewski, Jerzy Tyszer, Janusz Rajski, and Jedrzej Solecki
- Subjects
Scheme (programming language) ,Pseudorandom number generator ,business.industry ,Computer science ,Code coverage ,02 engineering and technology ,Interval (mathematics) ,Automotive electronics ,020202 computer hardware & architecture ,Test (assessment) ,Fault coverage ,0202 electrical engineering, electronic engineering, information engineering ,Overhead (computing) ,business ,computer ,Computer hardware ,computer.programming_language - Abstract
This paper presents a novel low-area scan-based logic built-in self-test (LBIST) scheme that addresses stringent test requirements of certain application domains such as the fast-growing automotive electronics market. These requirements, largely driven by safety standards, are met by significantly reducing test application time while preserving the high fault coverage of conventional BIST schemes. Alternatively, one may consider applying a much larger number of vectors within the same time interval. Although the new scheme may resemble traditional BIST logic, it is a combination of pseudorandom test patterns delivered in a test-per-clock fashion through conventional scan chains and per-cycle-driven hybrid test points that creates this new synergistic LBIST paradigm. The hybrid observation points, inserted at the most suitable locations, capture faulty effects every shift cycle into dedicated flip-flops that form separate scan chains. Their content is gradually shifted into a compactor, which is shared with the remaining scan chains that still deliver test responses captured once the entire test pattern has been shifted-in. Experimental results obtained for industrial designs illustrate feasibility of the proposed BIST scheme in terms of test time, test coverage, and area overhead, and they are reported herein.
- Published
- 2017
228. Cell-Aware Test
- Author
-
Marek Hustava, Juergen Schloeffel, M. Reese, W. Redemund, Anja Fast, Martin Keim, Friedrich Hapke, Janusz Rajski, and A. Glowatz
- Subjects
Engineering ,business.industry ,Rate reduction ,Volume (computing) ,Hardware_PERFORMANCEANDRELIABILITY ,Automatic test pattern generation ,Computer Graphics and Computer-Aided Design ,Test (assessment) ,Computer engineering ,CMOS ,Embedded system ,Automotive design ,Electrical and Electronic Engineering ,Fault model ,business ,Software - Abstract
This paper describes the new cell-aware test (CAT) approach, which enables a transistor-level and defect-based ATPG on full CMOS-based designs to significantly reduce the defect rate of manufactured ICs, including FinFET technologies. We present results from a defect-oriented CAT fault model generation for 1,940 standard library cells, as well as the application of CAT to several industrial designs. We present high volume production test results from a 32 nm notebook processor and from a 350 nm automotive design, including the achieved defect rate reduction in defective-parts-per-million. We also present CAT diagnosis and physical failure analysis results from one failing part and give an outlook for using the functionality for quickly ramping up the yield in advanced technology nodes.
- Published
- 2014
229. Test Time Reduction in EDT Bandwidth Management for SoC Designs
- Author
-
Janusz Rajski, Nilanjan Mukherjee, Jakub Janicki, Grzegorz Mrugalski, Jerzy Tyszer, and Mark Kassab
- Subjects
Engineering ,Bandwidth management ,business.industry ,Test compression ,Automatic test pattern generation ,Computer Graphics and Computer-Aided Design ,Automatic test equipment ,Embedded system ,Encoding (memory) ,Single-core ,System on a chip ,Electrical and Electronic Engineering ,business ,Software ,Computer hardware ,Communication channel - Abstract
This paper presents novel methods of reducing test time and enhancing test compression for system-on-chip (SoC) designs armed with embedded deterministic test (EDT)-based compression logic. The ability of the proposed scheme to improve the encoding efficiency and test compression, while reducing test application time, is accomplished by appropriate selecting and laying out automatic test equipment channel injectors of every single core EDT-based decompressor as well as appropriate bandwidth management of the entire test procedure combined with new control data optimization techniques. The efficacy of the proposed scheme is validated through experiments on several industrial SoC designs and is reported herein.
- Published
- 2013
230. On Deploying Scan Chains for Data Storage in Test Compression Environment
- Author
-
Jerzy Tyszer, Nilanjan Mukherjee, Dariusz Czysz, Janusz Rajski, and Grzegorz Mrugalski
- Subjects
Bandwidth management ,Engineering ,business.industry ,Scan chain ,Test compression ,Hardware_PERFORMANCEANDRELIABILITY ,Modular design ,Automatic test equipment ,Hardware and Architecture ,Embedded system ,Fault coverage ,Computer data storage ,Electrical and Electronic Engineering ,business ,Software ,Computer hardware ,Data compression - Abstract
In this study the authors show how the interface between automatic test equipment (ATE) and on-chip decompression logic can be improved by a smart reuse of the scan chains. Storing the parent patterns of a modular decompression scheme in groups of scan chains avoids multiple loads from the ATE and thus reduces the test time. The presented algorithm for scan chain selection allows a flexible bandwidth management while preserving encoding efficiency and fault coverage.
- Published
- 2013
231. Stuck-Open and Transition Fault Testing in CMOS Complex Gates.
- Author
-
Henry Cox and Janusz Rajski
- Published
- 1988
- Full Text
- View/download PDF
232. Testing and Diagnosis of Interconnects Using Boundary Scan Architecture.
- Author
-
Abu S. M. Hassan, Vinod K. Agarwal, and Janusz Rajski
- Published
- 1988
- Full Text
- View/download PDF
233. Testing Properties and Applications of Inverter-Free PLA's.
- Author
-
Vinod K. Agarwal and Janusz Rajski
- Published
- 1985
234. An Algorithmic Branch and Bound Method for PLA Test Pattern Generation.
- Author
-
Markus Robinson and Janusz Rajski
- Published
- 1988
- Full Text
- View/download PDF
235. On Multiple Fault Coverage and Aliasing Probability Measures.
- Author
-
Henry Cox, André Ivanov, Vinod K. Agarwal, and Janusz Rajski
- Published
- 1988
- Full Text
- View/download PDF
236. Testing of Glue Logic Interconnects Using Boundary Scan Architecture.
- Author
-
Abu S. M. Hassan, Vinod K. Agarwal, Janusz Rajski, and Benoit Nadeau-Dostie
- Published
- 1989
- Full Text
- View/download PDF
237. The detection of small size multiple faults by single fault test sets n programmable logic arrays.
- Author
-
Janusz Rajski and Jerzy Tyszer
- Published
- 1984
- Full Text
- View/download PDF
238. A fault simulation method based on stem regions.
- Author
-
Fadi Maamari and Janusz Rajski
- Published
- 1988
- Full Text
- View/download PDF
239. Parallel PLA fault simulation based on Boolean vector operations.
- Author
-
Eli Chiprout, Janusz Rajski, and Markus Robinson
- Published
- 1988
- Full Text
- View/download PDF
240. A reconvergent fanout analysis for efficient exact fault simulation of combinational circuits.
- Author
-
Fadi Maamari and Janusz Rajski
- Published
- 1988
- Full Text
- View/download PDF
241. GEMINI-a logic system for fault diagnosis based on set functions.
- Author
-
Janusz Rajski
- Published
- 1988
- Full Text
- View/download PDF
242. A self-reconfiguration scheme for fault-tolerant VLSI processor arrays.
- Author
-
Stephen Pateras and Janusz Rajski
- Published
- 1988
- Full Text
- View/download PDF
243. EDT Bandwidth Management in SoC Designs
- Author
-
Jakub Janicki, Janusz Rajski, M. Kassab, Jerzy Tyszer, Grzegorz Mrugalski, and Nilanjan Mukherjee
- Subjects
Bandwidth management ,Computer science ,business.industry ,Interface (computing) ,Test compression ,Computer Graphics and Computer-Aided Design ,Automatic test equipment ,Embedded system ,System on a chip ,Electrical and Electronic Engineering ,business ,Software ,Computer hardware ,Test data - Abstract
This paper presents preemptive test application schemes for system-on-a-chip (SoC) designs with embedded deterministic test-based compression. The schemes seamlessly combine new test data reduction techniques with test scheduling algorithms and novel test access mechanisms devised for both input and output sides. In particular, they allow cores to interface with automatic test equipment through an optimized number of channels. They are well suited for SoC devices comprising both nonisolated cores, i.e., blocks that occasionally need to be tested simultaneously, and completely wrapped modules. Experimental results obtained for large industrial SoC designs illustrate feasibility of the proposed test application schemes and are reported herein.
- Published
- 2012
244. On Test Points Enhancing Hardware Security
- Author
-
Jerzy Tyszer, Janusz Rajski, Elham Moghaddam, Nilanjan Mukherjee, and Justyna Zawada
- Subjects
Reverse engineering ,021110 strategic, defence & security studies ,Hardware security module ,Engineering ,business.industry ,Design for testing ,0211 other engineering and technologies ,ComputingMilieux_LEGALASPECTSOFCOMPUTING ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Integrated circuit design ,Integrated circuit ,Intellectual property ,computer.software_genre ,Computer security ,020202 computer hardware & architecture ,Counterfeit ,law.invention ,law ,Embedded system ,0202 electrical engineering, electronic engineering, information engineering ,business ,computer ,Testability - Abstract
Recent reverse-engineering attempts to steal a competitive design intellectual property (IP) or to identify the device technology in order to counterfeit integrated circuits (ICs) have raised serious concerns in the IC design community. This paper demonstrates that test points - industry-proven design-for-test technology used to enhance the overall design testability - can also be deployed in the mission mode to obfuscate the circuit's structure, and thus to improve the hardware security against reverse engineering, IC cloning, and IP theft. In particular, it is shown how test points can facilitate the hiding of design functionality from adversaries. As a result, not only the overall design testability is improved, but also effective protection against reverse engineering and other forms of attacks is ensured.
- Published
- 2016
245. Test point insertion in hybrid test compression/LBIST architectures
- Author
-
Janusz Rajski, Nilanjan Mukherjee, Elham Moghaddam, Jerzy Tyszer, and Justyna Zawada
- Subjects
Engineering ,business.industry ,0211 other engineering and technologies ,Code coverage ,Test compression ,02 engineering and technology ,Automatic test pattern generation ,Fault detection and isolation ,020202 computer hardware & architecture ,Reliability engineering ,Built-in self-test ,Fault coverage ,0202 electrical engineering, electronic engineering, information engineering ,Overhead (computing) ,business ,Testability ,021106 design practice & management - Abstract
Logic built-in self-test (LBIST), originally introduced for board, system, and in-field tests, is now being increasingly used with on-chip test compression. This hybrid approach allows LBIST to become a complementary solution for in-system test, where high quality, low power, low silicon area, and most importantly short test application time are key factors affecting ICs that are targeted for safety-critical and automotive systems. Test points are common in BIST-ready designs where they play a key role in reducing both test application time given a test coverage goal and the overall silicon overhead so that one can get a desired coverage with the minimal number of patterns. Unfortunately, these test points are typically dysfunctional when enabled in an ATPG-based test compression mode. Similarly, test points used to reduce ATPG-based test pattern counts cannot guarantee desired random testability. Incompatibility of both types of test points has motivated research presented in this paper. We present a novel hybrid test point technology designed to both reduce deterministic pattern counts and improve fault detection likelihood by means of the same minimal set of test points. Experimental results obtained for large industrial designs illustrate feasibility of the proposed hybrid test points and are reported herein.
- Published
- 2016
246. Minimal area test points for deterministic patterns
- Author
-
Nilanjan Mukherjee, Sudhakar M. Reddy, Elham Moghaddam, Jerzy Tyszer, Yingdi Liu, and Janusz Rajski
- Subjects
Engineering ,business.industry ,0211 other engineering and technologies ,Process (computing) ,Potential candidate ,Test compression ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Automatic test pattern generation ,Conflict analysis ,020202 computer hardware & architecture ,Test (assessment) ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,business ,Algorithm ,Selection (genetic algorithm) ,Hardware_LOGICDESIGN ,021106 design practice & management - Abstract
Conflict-aware test points, introduced recently, facilitate significant reductions in deterministic test pattern counts. However, dedicated flip-flops driving control points increase test logic area. This paper presents a method to minimize silicon area needed to implement conflict-aware test points by reusing functional flip-flops as drivers of control points. Conflict analysis is applied during the test point selection process, and ATPG verification is run for every potential candidate. Experimental results show that functional flip-flops can be reused as drivers for more than 90% of the control points with the average of 5% penalty in pattern count increase as compared to methods using only dedicated flip-flops. After replacing dedicated flip-flops with functional flip-flops, conflict-aware test points can still achieve remarkable pattern count reductions.
- Published
- 2016
247. Digital Testing of ICs for Automotive Applications
- Author
-
Nilanjan Mukherjee and Janusz Rajski
- Subjects
Engineering ,business.industry ,media_common.quotation_subject ,Automotive industry ,Advanced driver assistance systems ,Horsepower ,Automotive engineering ,Reliability (semiconductor) ,SAFER ,Quality (business) ,Electronics ,business ,media_common ,Efficient energy use - Abstract
The gradual change in consumer mindset from considering horsepower, style, and reliability as key factors when buying automobiles, to better energy efficiency, lower emissions, and advanced driver assistance systems (ADAS) is resulting in an exponential growth in the amount of electronics that is being added into cars today. Whether it is the desire to safely access contents in the car for infotainment, or the need for advanced driver assistance for a safer drive, or vehicle-to-vehicle communication for getting alerts from highway sensors or transportation authorities, electronics is the key for making these features a reality. As the number of electronic components in cars is on the rise, the standards driving safety and reliability (such as ISO 26262) in the automotive space are applicable to the ICs that are being used in cars as well. It is not only important to guarantee very high quality for the semiconductor devices being used, it is equally important to constantly test and monitor the health of such devices during its normal operation. Consequently, ICs manufactured for automotive applications pose some unique challenges in the area of VLSI testing -a)How to improve test quality to reduce the overall DPPM targets to single digits?b)How to test a device in-system when it is idle but the system is in operation?In this tutorial, we will present recent advances in digital testing that enables manufacturing of high quality ICs being used for various automotive applications. Multi-core designs, heterogeneous component integration, and sophisticated packaging techniques aggravate the challenge of testing such devices effectively. We will discuss some of the advanced fault models such as n-detect, timing aware, and cell-aware that are gaining traction and being deployed for manufacturing test of such designs in the automotive space. Numerous case studies and results based on silicon experiments will be presented.
- Published
- 2016
248. Deterministic Clustering of Incompatible Test Cubes for Higher Power-Aware EDT Compression
- Author
-
Janusz Rajski, Jerzy Tyszer, Dariusz Czysz, Grzegorz Mrugalski, Nilanjan Mukherjee, and P. Szczerbicki
- Subjects
Design for testing ,fungi ,Scan chain ,Test compression ,Automatic test pattern generation ,Computer Graphics and Computer-Aided Design ,Compression ratio ,Electrical and Electronic Engineering ,Cluster analysis ,Algorithm ,Software ,Test data ,Mathematics ,Volume (compression) - Abstract
The embedded deterministic test-based compression uses cube merging to reduce a pattern count, the amount of test data, and test time. It gradually expands a test pattern by incorporating compatible test cubes. This paper demonstrates that compression ratios can be order of magnitude higher, if the cube merging continues despite conflicts on certain positions. Our novel solution produces test clusters, each comprising a parent pattern and a number of its derivatives obtained by imposing extra bits on it. In order to load scan chains with patterns that feature original test cubes, only data necessary to recreate parent patterns as well as information regarding locations and values of the corresponding conflicting bits are required. A test controller can then deliver tests by repeatedly applying the same parent pattern, every time using a different control pattern to decide whether a given scan chain receives data from the parent pattern, or another pattern is used instead to recover content of the original test cube. Compression of incompatible test cubes preserves all benefits of continuous flow decompression and offers compression ratios of order 1000× with encoding efficiency much higher than 1.0. We also demonstrate that test clusters make it possible to deliver test patterns in a flexible power-aware fashion. This framework achieves significant reductions in switching activity during scan loading as well as additional test data volume reductions due to encoding algorithms employed to compress parent and control vectors.
- Published
- 2011
249. On Compaction Utilizing Inter and Intra-Correlation of Unknown States
- Author
-
Grzegorz Mrugalski, Nilanjan Mukherjee, Janusz Rajski, Dariusz Czysz, and Jerzy Tyszer
- Subjects
Correlation ,Computer science ,Logic gate ,Real-time computing ,Scan chain ,System testing ,Electrical and Electronic Engineering ,Computer Graphics and Computer-Aided Design ,Algorithm ,Software ,Test data - Abstract
Unknown (X) states are increasingly often identified as having potential for rendering semiconductor tests useless. One of the key requirements for a reliable test response compactor is, therefore, to preserve observability of any scan cell for a wide range of X-profiles while maintaining very high-compaction ratios, providing ability to detect a variety of failures found in real silicon, and assuring design simplicity. We have proposed a fully X-tolerant test response compaction scheme which is based on a flexible scan chain selection mechanism. This new approach delivers extremely high compression of test results by observing that X states are typically not randomly distributed in test responses. Identical or similar patterns of correlated X states let the proposed scheme reduce the size of a scan chain selector and the amount of test data used to control it. It handles, moreover, a wide range of unknown state profiles such that all X states, including those being clustered and of high density, are suppressed in a per-cycle mode without compromising the test quality.
- Published
- 2010
250. Low-Power Scan Operation in Test Compression Environment
- Author
-
Grzegorz Mrugalski, Janusz Rajski, Dariusz Czysz, M. Kassab, Jerzy Tyszer, and Xijiang Lin
- Subjects
Engineering ,business.industry ,Design for testing ,Test compression ,Integrated circuit design ,Modular design ,Computer Graphics and Computer-Aided Design ,Logic synthesis ,Logic gate ,Compression ratio ,Electronic engineering ,System on a chip ,Electrical and Electronic Engineering ,business ,Software ,Simulation - Abstract
This paper presents a new and comprehensive low-power test scheme compatible with a test compression environment. The key contribution of this paper is a flexible test-application framework that achieves significant reductions in switching activity during all phases of scan test: loading, capture, and unloading. In particular, we introduce a new on-chip continuous-flow decompressor. Its synergistic use with a power-aware scan controller allows a significant reduction of toggling rates when feeding scan chains with decompressed test patterns. While the proposed solution requires minimal modifications of the existing design for test logic, experiments indicate that its use results in a low switching activity which reduces power consumption to or below a level of a functional mode. It resolves problems related to power dissipation, voltage drop, and increased temperature. Our approach integrates seamlessly with test logic synthesis flow, and it does not compromise compression ratios. It fits well into various design paradigms, including modular design flow where blocks come with individual decompressors and compactors.
- Published
- 2009
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.