150 results on '"Bert Brijs"'
Search Results
2. BI and Cost Accounting
- Author
-
Bert Brijs
- Subjects
Process management ,Electronic business ,Business analytics ,New business development ,Business architecture ,Business analysis ,Business ,Business case ,Business activity monitoring ,Business relationship management - Published
- 2016
- Full Text
- View/download PDF
3. BI and Financial Management
- Author
-
Bert Brijs
- Subjects
business.industry ,Cost accounting ,Accounting ,Business - Published
- 2016
- Full Text
- View/download PDF
4. Balancing the 5 Ps of Strategic Management
- Author
-
Bert Brijs
- Subjects
Control theory ,Mathematics - Published
- 2016
- Full Text
- View/download PDF
5. Business Analyst’s Toolbox
- Author
-
Bert Brijs
- Subjects
Multimedia ,Computer science ,Data quality ,Data mining ,computer.software_genre ,Data science ,computer ,Toolbox - Published
- 2016
- Full Text
- View/download PDF
6. The Increasing Cycle Speed of Growth and Its Laws
- Author
-
Bert Brijs
- Published
- 2016
- Full Text
- View/download PDF
7. Introduction
- Author
-
Bert Brijs
- Published
- 2016
- Full Text
- View/download PDF
8. BI and Human Resources Management
- Author
-
Bert Brijs
- Subjects
Marketing management ,Business ,Marketing - Published
- 2016
- Full Text
- View/download PDF
9. Starting a BI Project
- Author
-
Bert Brijs
- Subjects
Knowledge management ,business.industry ,Human resource management ,Business - Published
- 2016
- Full Text
- View/download PDF
10. Adapting BI to the Organization’s Configuration
- Author
-
Bert Brijs
- Subjects
Process management ,Strategic management ,Business - Published
- 2016
- Full Text
- View/download PDF
11. Managing the Project Life Cycle
- Author
-
Bert Brijs
- Subjects
Engineering ,Process management ,business.industry ,business ,Project life cycle - Published
- 2016
- Full Text
- View/download PDF
12. Business Analysis for Business Intelligence
- Author
-
Bert Brijs
- Published
- 2016
- Full Text
- View/download PDF
13. The Business Case for Business Intelligence
- Author
-
Bert Brijs
- Published
- 2016
- Full Text
- View/download PDF
14. BI and Marketing Management
- Author
-
Bert Brijs
- Published
- 2016
- Full Text
- View/download PDF
15. Understanding the 4 Cs
- Author
-
Bert Brijs
- Published
- 2016
- Full Text
- View/download PDF
16. BI and Operations Management
- Author
-
Bert Brijs
- Subjects
Computer science ,Operations management - Published
- 2016
- Full Text
- View/download PDF
17. Mastering Data Management
- Author
-
Bert Brijs
- Published
- 2016
- Full Text
- View/download PDF
18. NiO Thin Films Synthesized by Atomic Layer Deposition using Ni(dmamb)2 and Ozone as Precursors
- Author
-
Marc Schaekers, Michael Toeller, Thierry Conard, Alexis Franquet, Peter Antony Premkumar, Sven Van Elshocht, Olivier Richard, Alain Moussa, Hilde Tielens, Christoph Adelmann, Johan Meersschaut, Bert Brijs, Malgorzata Jurczak, Hugo Bender, and Jorge A. Kittl
- Subjects
Materials science ,Ozone ,Process Chemistry and Technology ,Inorganic chemistry ,Non-blocking I/O ,Surfaces and Interfaces ,General Chemistry ,Microstructure ,Atomic layer deposition ,chemistry.chemical_compound ,Adsorption ,Chemical engineering ,chemistry ,Wafer ,Crystallite ,Thin film - Abstract
NiO thin films are deposited by atomic layer deposition (ALD) from the Ni(dmamb)2 (dmamb = 1-dimethylamino-2-methyl-2-butanolate) precursor using O3 as the oxidizer. The films are analyzed for wafer uniformity, structure, composition, morphology, microstructure, and homogeneity. The Ni(dmamb)2 half-cycle shows an initial rapid partial saturation followed by slower further adsorption. By contrast, the O3 half-cycle shows good saturation behavior. In the studied deposition temperature range for ALD, the films are polycrystalline with negligible amounts of carbon in the films. Furthermore, the films are homogeneous in thickness and composition, demonstrating that high-quality NiO films can be deposited by ALD from Ni(dmamb)2.
- Published
- 2012
- Full Text
- View/download PDF
19. Lanthanide Aluminates as Dielectrics for Non-Volatile Memory Applications: Material Aspects
- Author
-
Karl Opsomer, Laurent Breuil, Jorge A. Kittl, Bert Brijs, Mihaela Popovici, J. Van Houdt, S. Van Elshocht, Hilde Tielens, J. Swerts, Hugo Bender, Johan Meersschaut, A. Cacciato, Christoph Adelmann, Valery V. Afanas'ev, O. Richard, Geoffrey Pourtois, Malgorzata Jurczak, and Thierry Conard
- Subjects
Non-volatile memory ,Lanthanide ,Materials science ,Renewable Energy, Sustainability and the Environment ,Materials Chemistry ,Electrochemistry ,Nanotechnology ,Dielectric ,Condensed Matter Physics ,Flash memory ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Abstract
The lanthanide aluminates GdAlO 3 and LuAlO 3 have been examined for integration into advanced non-volatile memory devices. From a materials point of view, a number of physical properties of the material need to be understood prior to successful device integration, such as e.g. band gap, dielectric permittivity, or crystallization behavior. In addition to these material properties, the layers may have to withstand high thermal budgets during device processing such that thermal stability and interdiffusion in contact with surrounding materials become important.
- Published
- 2011
- Full Text
- View/download PDF
20. Si passivation for Ge pMOSFETs: Impact of Si cap growth conditions
- Author
-
Jerome Mitard, Matty Caymax, Jens Rip, Johan Dekoster, Roger Loo, Jeroen Delmotte, Wilfried Vandervorst, C Claypool, Thierry Conard, B. De Jaeger, Bastien Douhard, Benjamin Vincent, Thierry Verbiest, Ventsislav K. Valev, Maarten Vanbel, Shotaro Takeuchi, Shigeaki Zaima, and Bert Brijs
- Subjects
Materials science ,Passivation ,business.industry ,Trisilane ,Dichlorosilane ,Condensed Matter Physics ,Silane ,Crystallographic defect ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Monolayer ,Materials Chemistry ,Electronic engineering ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,business ,Molecular beam epitaxy - Abstract
Ultra thin Si cap growth by Reduced Pressure Chemical Vapor Deposition on relaxed Ge substrates is detailed in this paper for Ge pMOSFET (Metal Oxide Semiconductor Field Effect Transistors) passivation purposes. A cross calibration of different measurement techniques is first proposed to perfectly monitor Si monolayers thickness deposited on Ge substrates. Different characteristics, impacting Ge pMOSFETs device performances, are next detailed for various Si cap growth processes using different Si precursors: DiChloroSilane (DCS), silane and trisilane. The critical Si thickness of plastic relaxation has been determined at 12 monolayers. Presence of point defects has been identified for very low growth temperature as 350 °C. Ge–Si intermixing, caused by a Ge segregation mechanism, is strongly reduced by the use of trisilane as Si precursor at low temperatures.
- Published
- 2011
- Full Text
- View/download PDF
21. A comparative study of the microstructure-dielectric properties of crystalline SrTiO3 ALD films obtained via seed layer approach
- Author
-
Min-Soo Kim, L. Altimime, Jorge A. Kittl, Mihaela Popovici, Sven Van Elshocht, K. Tomida, Hugo Bender, Ben Kaczer, Christoph Adelmann, M. A. Pawlak, Hilde Tielens, Johan Swerts, Bert Brijs, Annelies Delabie, and Paola Favia
- Subjects
Materials science ,chemistry.chemical_element ,Nanotechnology ,Surfaces and Interfaces ,Dielectric ,Condensed Matter Physics ,Microstructure ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Atomic layer deposition ,Chemical engineering ,chemistry ,law ,Materials Chemistry ,Metal insulator metal capacitor ,Electrical and Electronic Engineering ,Crystallization ,Tin ,Layer (electronics) ,Deposition (law) - Abstract
SrTiO3 (STO) films were grown by atomic layer deposition (ALD) on TiN using Sr(t-Bu3Cp)2, Ti(OCH3)4 and H2O. After crystallization anneal, large single crystals grains were obtained and nanocracks were present. The microstructure can be changed using a thin STO crystalline seed spike annealed at 700 °C, which induces formation of much smaller grains in the top layer after post-deposition anneal. The seed approach was also applied for a layer that was directly deposited in crystalline state at 370 °C, with a Ti(Me5Cp)(OMe)3 precursor thermally stable at this temperature of deposition. The nanocracks were reduced or totally eliminated when using the seed layer template approach. Nevertheless, the leakage current is only reduced for the case when the Ti(OCH3)4 precursor was used.
- Published
- 2011
- Full Text
- View/download PDF
22. Atomic-Layer Deposition of Lutetium Aluminate Thin Films for Non-Volatile Memory Applications
- Author
-
Johan Swerts, Hilde Tielens, Thierry Conard, Bert Brijs, Karl Opsomer, Alain Moussa, Malgorzata Jurczak, Christoph Adelmann, Sven Van Elshocht, An Hardy, Alexis Franquet, Marlies K. Van Bael, and Jorge A. Kittl
- Subjects
Non-volatile memory ,chemistry.chemical_compound ,Atomic layer deposition ,Materials science ,chemistry ,Chemical engineering ,Aluminate ,chemistry.chemical_element ,Thin film ,Lutetium - Abstract
Thin LuxAl2−xO3 films were deposited by atomic-layer deposition using Lu(thd)3, and TMA in combination with O3 as oxidizer. High-quality dielectric films were obtained with good process con-trol. The full range of the Lu/(Lu+Al) composition was found to be accessible. The films showed bulk density and low roughness. As a result, this process enables the study of LuxAl2−xO3 as dielectric in advanced non-volatile memory devices.
- Published
- 2011
- Full Text
- View/download PDF
23. (Invited) Introducing Lanthanide Aluminates as Dielectrics for Nonvolatile Memory Applications: A Material Scientist's View
- Author
-
Mihaela Popovici, Valeri Afanas'ev, Hilde Tielens, Bert Brijs, Christoph Adelmann, Christophe Detavernier, Olivier Richard, Thierry Conard, Johan Swerts, S. Van Elshocht, Jorge Kittl, Malgorzata Jurczak, Hugo Bender, Laurent Breuil, Geoffrey Pourtois, Karl Opsomer, and A. Cacciato
- Subjects
Lanthanide ,Non-volatile memory ,Materials science ,Nanotechnology ,Dielectric - Abstract
The lanthanide aluminates GdAlO3 and LuAlO3 have been examined for integration into advanced non-volatile memory devices. From a materials point of view, a number of physical properties of the material need to be understood prior to successful device integration, such as e.g. bandgap and band offsets, dielectric permittivity, or crystallization behavior. In addition to these material properties, the layers may have to withstand high thermal budgets during device processing such that thermal stability and interdiffusion in contact with surrounding materials become important.
- Published
- 2010
- Full Text
- View/download PDF
24. Metal-Organic Chemical Vapor Deposition of Ti-Doped NiO Layers for Application in Resistive Switching Memories
- Author
-
Bert Brijs, X.P. Wang, E. Vancoille, Johannes Meersschaut, S. Van Elshocht, L. Altamime, Michael Toeller, Malgorzata Jurczak, Marc Schaekers, and Dirk Wouters
- Subjects
Metal ,Materials science ,business.industry ,visual_art ,Resistive switching ,Non-blocking I/O ,Doping ,visual_art.visual_art_medium ,Optoelectronics ,Chemical vapor deposition ,business - Abstract
We report on the metal-organic chemical vapor deposition of Ti-doped NiO thin films on 300 mm wafers for the application in resistive switching memories. We have used molecular oxygen as the oxidizer and Ni(dmamb)2 and TDMAT as precursors for the supply of Ni and Ti respectively. A well-behaved process is proposed for which the Ti-concentration is tunable between 0% and ~15%. We will demonstrate the functionality of resistive switching devices consisting of the stack Ni/Ti-doped NiO/TiN, and we will discuss the potential benefits of the titanium doping.
- Published
- 2010
- Full Text
- View/download PDF
25. Atomic Layer Deposition of Gadolinium Aluminate using Gd(iPrCp)3, TMA, and O3 or H2O
- Author
-
Jan Willem Maes, Alexis Franquet, Dieter Pierreux, Alain Moussa, Christoph Adelmann, Bert Brijs, Thierry Conard, Marlies K. Van Bael, Johan Swerts, Jorge A. Kittl, Sven Van Elshocht, Hilde Tielens, An Hardy, Malgorzata Jurczak, and Daan Dewulf
- Subjects
Materials science ,Band gap ,Process Chemistry and Technology ,Gadolinium ,Aluminate ,Inorganic chemistry ,Dielectric permittivity ,chemistry.chemical_element ,Surfaces and Interfaces ,General Chemistry ,Dielectric ,Atomic layer deposition ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Gadolinium oxide - Abstract
For future generations of non-volatile memory applications, the replacement of the interpoly dielectric by a suitable high-k material is required. Rare-earth aluminates are potential candidates because they are predicted to combine a high dielectric permittivity with a large band gap. We demonstrate the atomic layer deposition (ALD) of GdxAl2-xO3 layers using Gd( i PrCp)3, trimethyl-aluminum (TMA), and H2 Oo r O3. Process windows for both H2O and O3 as oxidants are explored. H2O is shown to lead to better GdxAl2-xO3 film properties than O3, although the accessible composition range is limited because of the hygroscopic nature of Gd2O3.
- Published
- 2010
- Full Text
- View/download PDF
26. (Invited) Rare Earth Materials for Semiconductor Applications
- Author
-
Mihaela Popovici, Jorge Kittl, Hilde Tielens, Dieter Pierreux, Marlies K. Van Bael, Annelies Delabie, Christoph Adelmann, Tom Schram, J. W. Maes, Sven Van Elshocht, Johan Swerts, An Hardy, Xiaoping Shi, Laura Nyns, G. Pourtois, and Bert Brijs
- Subjects
Semiconductor ,Materials science ,business.industry ,Rare earth ,business ,Engineering physics - Abstract
Rare earth based oxides are researched for logic and memory semiconductor applications. Their hygroscopic nature and tendency to form silicates make them a challenging class of materials in respect of processing and stability. Using LaAlO3, LuAlO3, and GdAlO3 we have explored the impact of the oxidant, H2O or O3, during deposition, their stability when exposed to air, and their stability towards silicate formation. We show that the rare earth content of the material has a significant impact on the uniformity of the process using water-based atomic layer deposition as well as on the material stability during air exposure. We also describe silicate formation for these materials and demonstrate that an oxidation process can be used to make silicate layers with well-controlled composition.
- Published
- 2010
- Full Text
- View/download PDF
27. SiGe SEG Growth for Buried Channels p-MOS Devices
- Author
-
Shinji Takeoka, Liesbeth Witters, Matty Caymax, Clement Merckling, Roger Loo, J. Geypen, Andriy Hikavyy, Johan Dekoster, and Bert Brijs
- Subjects
Materials science ,business.industry ,Optoelectronics ,business - Abstract
Different selective epitaxial growth processes to deposit buried SiGe channels with Ge contents in the range of 25-55% and with an ultra thin Si capping layer have been successfully developed and implemented in pMOS device flows. Relatively low deposition temperatures assure the absence of SiGe islands and enable high quality strained SiGe layers. The required low growth temperature governed the choice of precursors used. In this contribution we review in detail the developed SiGe processes together with the deposition of the ultra thin Si cap layer. Electrical data obtained on the devices with incorporated Si0.75Ge0.25 and Si0.55Ge0.45 buried channels are presented as well.
- Published
- 2009
- Full Text
- View/download PDF
28. High-k dielectrics for future generation memory devices (Invited Paper)
- Author
-
Dirk Wouters, J. Van Houdt, Hugo Bender, Karl Opsomer, Bogdan Govoreanu, Wouter Polspoel, P. Fischer, Robin Degraeve, Jorge A. Kittl, Marc Schaekers, D. Manger, Annelies Delabie, Aude Rothschild, M. A. Pawlak, J. W. Maes, S. Van Elshocht, J. Swerts, Dieter Pierreux, Werner Knaepen, X.P. Wang, Christophe Detavernier, Bert Brijs, Johan Meersschaut, Mihaela Popovici, Sergiu Clima, Paola Favia, Alexis Franquet, Tom E. Blomberg, Mohammed Zahid, Geoffrey Pourtois, Thierry Conard, Wilfried Vandervorst, Nicolas Menou, Malgorzata Jurczak, K. Tomida, Ben Kaczer, Christoph Adelmann, and Valery V. Afanas'ev
- Subjects
Dynamic random-access memory ,Materials science ,business.industry ,Band gap ,Equivalent oxide thickness ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Flash memory ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,Atomic layer deposition ,law ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Dram ,High-κ dielectric - Abstract
The requirements and development of high-k dielectric films for application in storage cells of future generation flash and Dynamic Random Access Memory (DRAM) devices are reviewed. Dielectrics with k-value in the 9-30 range are studied as insulators between charge storage layers and control gates in flash devices. For this application, large band gaps (>6eV) and band offsets are required, as well as low trap densities. Materials studied include aluminates and scandates. For DRAM metal-insulator-metal (MIM) capacitors, aggressive scaling of the equivalent oxide thickness (with targets down to 0.3nm) drives the research towards dielectrics with k-values >50. Due to the high aspect ratio of MIMCap structures, highly conformal deposition techniques are needed, triggering a substantial effort to develop Atomic Layer Deposition (ALD) processes for the deposition of metal gates and high-k dielectrics. Materials studied include Sr- and Ba-based perovskites, with SrTiO"3 as one of the most promising candidates, as well as tantalates, titanates and niobates.
- Published
- 2009
- Full Text
- View/download PDF
29. Considerations about multiple and plural scattering in heavy-ion low-energy ERDA
- Author
-
Timo Sajavaara, André Vantomme, Simone Giangrandi, Bert Brijs, Kai Arstila, and Wilfried Vandervorst
- Subjects
Elastic recoil detection ,Nuclear and High Energy Physics ,Recoil ,Scattering ,Chemistry ,Monte Carlo method ,Thin film ,Atomic physics ,Instrumentation ,Beam (structure) ,Characterization (materials science) ,Ion - Abstract
Low-energy heavy-ion Elastic Recoil Detection Analysis (ERDA) is becoming a mature technique for high-resolution characterization of thin films, i.e. below 50 nm thickness. In combination with a small tandem accelerator (∼2 MV terminal voltage) and beam energies below 20 MeV, it is suitable for routine analysis of key materials in semiconductor technology. At low-energies, however, small angle multiple scattering and large angle plural scattering of ions play a significant role, starting from the first nanometers. Multiple and plural scattering dominate the depth resolution deterioration with increasing depth and, when glancing angles are used, introduce long tails in the elemental energy profiles. Moreover, multiple and plural scattering may affect the elemental relative and absolute quantification. A complete characterization of ultra-thin films thus requires a detailed analysis with accurate simulation of the energy spectra. In this paper we investigate the mechanism of multiple and plural scattering for different combinations of beam/recoil atoms, energies and geometries. Simulations run with the Monte Carlo code MCERD support and generalize the experimental data. The calculations show the relative contributions of beam and recoil ions and highlight the role of ion angular distribution to the formation of tails in the energy profiles.
- Published
- 2009
- Full Text
- View/download PDF
30. High-k Dielectrics and Metal Gates for Future Generation Memory Devices
- Author
-
Jorge A. Kittl, Wouter Polspoel, M. Schaekers, Tom E. Blomberg, Johannes Meersschaut, Aude Rothschild, J.W. Maes, Paola Favia, Mihaela Popovici, Hugo Bender, Ben Kaczer, Karl Opsomer, Christoph Adelmann, Bogdan Govoreanu, Robin Degraeve, Werner Knaepen, N. Menou, Pamela René Fischer, Wilfried Vandervorst, Valery V. Afanas'ev, A. Franquet, Dieter Pierreux, T. Conrad, Dirk Wouters, S. Van Elshocht, Malgorzata Jurczak, J. Swerts, Mohammed Zahid, K. Tomida, Geoffrey Pourtois, Christophe Detavernier, X.P. Wang, Sergiu Clima, D. Manger, Annelies Delabie, Bert Brijs, J. Van Houdt, and M. A. Pawlak
- Subjects
Dynamic random-access memory ,Materials science ,business.industry ,Band gap ,Nanotechnology ,Equivalent oxide thickness ,Dielectric ,law.invention ,Capacitor ,Atomic layer deposition ,law ,Optoelectronics ,business ,Dram ,High-κ dielectric - Abstract
The requirements and development of high-k dielectric films for application in storage cells of future generation flash and Dynamic Random Access Memory (DRAM) devices are reviewed. Dielectrics with k-value in the 9-30 range are studied as insulators between charge storage layers and control gates in flash devices. For this application, large band gaps (> 6 eV) and band offsets are required, as well as low trap densities. Materials studied include aluminates and scandates. For DRAM metal-insulator-metal (MIM) capacitors, aggressive scaling of the equivalent oxide thickness (with targets down to 0.3 nm) drives the research towards dielectrics with k-values > 50. Due to the high aspect ratio of MIMCap structures, highly conformal deposition techniques are needed, triggering a substantial effort to develop Atomic Layer Deposition (ALD) processes for the deposition of metal gates and high-k dielectrics. Materials studied include Sr and Ba-based perovskites, with SrTiO3 as one of the most promising candidates, as well as tantalates, titanates and niobates.
- Published
- 2009
- Full Text
- View/download PDF
31. Low-energy heavy-ion TOF-ERDA setup for quantitative depth profiling of thin films
- Author
-
Bert Brijs, André Vantomme, Wilfried Vandervorst, Simone Giangrandi, Kai Arstila, and Timo Sajavaara
- Subjects
Nuclear and High Energy Physics ,Materials science ,business.industry ,Detector ,law.invention ,Characterization (materials science) ,Telescope ,Elastic recoil detection ,Time of flight ,Optics ,law ,Thin film ,business ,Instrumentation ,Beam (structure) ,Energy (signal processing) - Abstract
Low-energy heavy-ion time-of-flight elastic recoil detection analysis (TOF-ERDA) is becoming a mature technique for accurate characterization of thin films. In combination with a small tandem accelerator (∼2 MV terminal voltage) and beam energies below 20 MeV, it is suitable for routine analysis of key materials in semiconductor technology. In this paper we discuss advantages and drawbacks of low-energy ERDA, compared to high-energy ERDA, in terms of depth and mass resolution, detection efficiency for light elements, sample irradiation damage and quantification accuracy. The results presented are obtained with the time-of-flight telescope recently developed at IMEC. The time-of-flight is measured with timing gates based on electrostatic mirrors and is acquired in coincidence with the energy signal measured by a planar Si detector.
- Published
- 2008
- Full Text
- View/download PDF
32. High Ge content SGOI substrates obtained by the Ge condensation technique: A template for growth of strained epitaxial Ge
- Author
-
Roger Loo, M. Meuris, V. Terzieva, Alain Moussa, Wilfried Vandervorst, Matty Caymax, Francesca Clemente, Laurent Souriau, and Bert Brijs
- Subjects
Materials science ,Fabrication ,Silicon ,Annealing (metallurgy) ,Metals and Alloys ,chemistry.chemical_element ,Mineralogy ,Germanium ,Surfaces and Interfaces ,Epitaxy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Template reaction ,chemistry ,Materials Chemistry ,Thermal stability ,Composite material ,Thin film - Abstract
In the first part of this work, the fabrication of silicon germanium-on-insulator substrates (SGOI) by the Ge condensation technique was studied. Ge atomic fractions as high as 93% have been obtained while maintaining nice structural properties of the films. We show that these layers exhibit a large compressive strain and that the strain can be lowered by introducing some annealing steps in argon ambient during the condensation. SGOI substrates with a Ge atomic fraction of 75% were subsequently used as template for the growth of strained epitaxial Ge layers. Because of the important strain in the SGOI, the temperature for the in-situ bake prior to the growth has to be carefully selected in order to avoid relaxation. Ge layers with compressive strain up to −1% and thicknesses up to 40 nm have been obtained. The crystal quality, roughness and thermal stability of the strained Ge layers were finally evaluated.
- Published
- 2008
- Full Text
- View/download PDF
33. Materials characterization of WNxCy, WNx and WCx films for advanced barriers
- Author
-
Alexis Franquet, S. Garaud, Guy Vereecke, F. Sinapi, Thierry Conard, Youssef Travaly, I. Hoflijk, Bert Brijs, Chao Zhao, Henny Volders, Zsolt Tokei, Hugo Bender, Chris Drijbooms, Wei-Min Li, D. Vanhaeren, H. Sprey, Alain M. Jonas, Rudy Caluwaerts, L. Carbonell, and Alain Moussa
- Subjects
Materials science ,Oxide ,Analytical chemistry ,chemistry.chemical_element ,Tungsten hexafluoride ,Nanotechnology ,Tungsten ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,Electrical resistivity and conductivity ,Plasma-enhanced chemical vapor deposition ,Electrical and Electronic Engineering ,Ternary operation ,Layer (electronics) - Abstract
A ternary WN"xC"y system was deposited in a thermal ALD (atomic layer deposition) reactor from ASM at 300^oC in a process sequence using tungsten hexafluoride (WF"6), triethyl borane (TEB) and ammonia (NH"3) as precursors. The WC"x layers were deposited by a novel ALD process at a process temperature of 250^oC. The WN"x layers were deposited at 375^oC using bis(tert-butylimido)-bis-(dimethylamido)tungsten (^tBuN)"2(Me"2N)"2W (imido-amido) and NH"3 as precursors. WN"x grows faster on plasma enhanced chemical vapor deposition (PECVD) oxide than WC"x does on chemical oxide. WN"xC"y grows better on PECVD oxide than on thermal oxide, which is opposite of what is seen for WN"x. In the case of the ternary WN"xC"y system, the scalability towards thinner layers and galvanic corrosion behavior are disadvantages for the incorporation of the layer into Cu interconnects. ALD WC"x based barriers have a low resistivity, but galvanic corrosion in a model slurry solution of 15% peroxide (H"2O"2) is a potential problem. Higher resistivity values are determined for the binary WN"x layers. WN"x shows a constant composition and density throughout the layer.
- Published
- 2007
- Full Text
- View/download PDF
34. Growth of Dysprosium-, Scandium-, and Hafnium-based Third Generation High-κ Dielectrics by Atomic Vapor Deposition
- Author
-
P. Lehnen, Chao Zhao, S. Van Elshocht, Bert Brijs, C. Lohe, C. Adelmann, S. De Gendt, O. Boissière, J. Schubert, Alexis Franquet, M. Roeckerath, and Thierry Conard
- Subjects
Materials science ,Process Chemistry and Technology ,Inorganic chemistry ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,General Chemistry ,Crystal structure ,Scandium oxide ,Amorphous solid ,chemistry.chemical_compound ,chemistry ,X-ray photoelectron spectroscopy ,Dysprosium ,Scandium ,Thin film ,Monoclinic crystal system - Abstract
Thin dysprosium-, scandium-, and hafnium-based oxide dielectric films are deposited by atomic vapor deposition (AVD) using tris(6-ethyl-2,2-dimethyl-3,5-decanedionato) dysprosium [Dy(EDMDD)3], tris(6-ethyl-2,2-dimethyl-3,5-decanedionato) scandium [Sc(EDMDD)3], and bis(tert-butoxide) bis(methoxymethyl propanoxide) hafnium [Hf(OtBu)2(mmp)2] as precursors. Spectroscopic ellipsometry (SE), Rutherford backscattering (RBS) spectrometry, and X-ray photoemission spectroscopy (XPS) demonstrate good control of the thickness and composition of the films. In particular, ternary and quaternary oxide alloys of any desired composition are grown. X-ray diffraction (XRD) shows that the (Dy0.5Sc0.5)2O3 films are amorphous as grown, whereas the (Dy0.5Hf0.5)4O7 and (Sc0.5Hf0.5)4O7 films are crystalline. The crystal structures of DyxHf1–xOy and ScxHf1–xOy change from monoclinic to cubic around x∼0.1.
- Published
- 2007
- Full Text
- View/download PDF
35. Depth resolution optimization for low-energy ERDA
- Author
-
Timo Sajavaara, Simone Giangrandi, Bert Brijs, Kai Arstila, Wilfried Vandervorst, and André Vantomme
- Subjects
Nuclear and High Energy Physics ,Resolution (mass spectrometry) ,business.industry ,Scattering ,Monte Carlo method ,chemistry.chemical_element ,Characterization (materials science) ,Elastic recoil detection ,Time of flight ,Optics ,chemistry ,Thin film ,Tin ,business ,Instrumentation - Abstract
With the implementation of low-energy time-of-flight Elastic Recoil Detection Analysis (ERDA), routine analysis of thin films with high depth resolution becomes possible. The optimization of the measurement conditions is a key issue for an accurate sample characterization and is normally a compromise among depth resolution, mass resolution and sensitivity, for a given sample. In this work, we focus on the depth resolution optimization, presenting an extensive study of two different materials, SiO 2 and TiN, representative of light and medium mass targets. The film thickness varies between 10 and 50 nm. The samples were measured with different beams ( 35 Cl, 63 Cu, 79 Br and 127 I), energies (from 2 to 16 MeV) and incident angles. The experimental results are supported and generalized by simulations run with the Monte Carlo code MCERD. The different contributions of the system resolution, straggling and multiple scattering are evaluated and discussed. The best surface resolution is obtained in the low-energy limit. On the other hand, at low-energy the resolution deteriorates rapidly and better results for thicker films are obtained with higher incident energies. The loss of resolution with increasing depth is dominated by multiple scattering and becomes more relevant for heavy ions and heavy target atoms. In order to maintain a good depth resolution throughout the film, reducing the incident angle is more efficient than acting on the beam energy.
- Published
- 2007
- Full Text
- View/download PDF
36. Time-of-flight telescope for heavy-ion RBS
- Author
-
André Vantomme, Kai Arstila, Simone Giangrandi, Wilfried Vandervorst, Bert Brijs, and Timo Sajavaara
- Subjects
Nuclear and High Energy Physics ,Spectrometer ,Physics::Instrumentation and Detectors ,business.industry ,Chemistry ,Rutherford backscattering spectrometry ,Secondary electrons ,law.invention ,Ion ,Elastic recoil detection ,Telescope ,Time of flight ,Optics ,law ,Time-of-flight mass spectrometry ,business ,Instrumentation - Abstract
This paper describes a time-of-flight (TOF) spectrometer for Heavy-Ion Rutherford Backscattering Spectrometry (HI-RBS) recently installed at IMEC for thin film analysis. The TOF telescope allows the use of ion beams heavier than He, with advantages in terms of depth and mass resolution and sensitivity compared to conventional RBS based on planar Si detectors. The start timing-signal is produced by the secondary electrons emitted from a thin C foil when traversed by a backscattered ion; the electrons are deflected in an electrostatic mirror towards a Micro-channel plate (MCP) assembly which provides a fast timing response. The stop signal is obtained directly from a second MCP assembly perpendicular to the ion trajectory. The setup was tested with a variety of incident beams. An energy resolution as good as 10, 14, 17 and 25 keV was achieved for 4 He, 12 C, 16 O and 35 Cl beams respectively. The associated depth resolution improves up to a factor of three, compared to conventional RBS. The different contributions to the energy resolution in the near-surface region are theoretically evaluated. The main limiting factors in the low and high energy range are the tandem effect and the telescope time resolution (550 ps), respectively. In order to reduce the tandem effect, a new design of the first timing gate, with the C foil at ground potential, is currently under development.
- Published
- 2007
- Full Text
- View/download PDF
37. Metal/High-K Interface Interactions Upon High Temperature Annealing - Are They Cause of Workfunction Changes
- Author
-
Vasile Paraschiv, David P. Brunco, Bert Brijs, Kai Arstila, Thierry Conard, Z.X. Jiang, Wilfried Vandervorst, J. Lerma, Tom Schram, Lars Ragnarsson, Gerd Zschaetzsch, Amal Akheyar, V. Kaushik, and Stefan De Gendt
- Subjects
chemistry.chemical_compound ,Chemical state ,Materials science ,chemistry ,X-ray photoelectron spectroscopy ,Annealing (metallurgy) ,Oxide ,Analytical chemistry ,chemistry.chemical_element ,Work function ,Tin ,High-κ dielectric ,Metallic bonding - Abstract
The replacement of poly-silicon by metallic compounds in the gate stack leads to the search of metals with suitable work function. However, it is observed that thermal budget has a large influence on the effective work functions of several metals. In this paper, we investigated the possible modification of the chemical states by physical analysis techniques (XPS, ERD, SIMS) by studying investigating the chemistry of the high-k oxide/metal interface. We show that in the case of the TiN/TaN/HfO2/SiO2/Si stack, several modifications occur upon 1000{degree sign}C N2 annealing: Increase of the nitrogen content of the TaN, interdiffusion of the Ti and TaN, and formation of Ta2O5 at the TaN/HfO2 interface.
- Published
- 2006
- Full Text
- View/download PDF
38. Analysis of thin high-k and silicide films by means of heavy ion time-of-flight forward-scattering spectrometry
- Author
-
Simone Giangrandi, Wilfried Vandervorst, André Vantomme, Timo Sajavaara, Bert Brijs, and Kai Arstila
- Subjects
010302 applied physics ,Nuclear and High Energy Physics ,Materials science ,Ion beam ,Silicon ,business.industry ,Scattering ,Forward scatter ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,7. Clean energy ,Ion ,Elastic recoil detection ,Time of flight ,chemistry ,0103 physical sciences ,Optoelectronics ,Atomic physics ,Thin film ,0210 nano-technology ,business ,Instrumentation - Abstract
The use of forward scattered heavy incident ions in combination with a time-of-flight-energy telescope provides a powerful tool for the analysis of very thin (5–30 nm) films. This is because of greater stopping powers and better detector energy resolution for heavier ions than in conventional He-RBS. Because of the forward scattering angle, the sensitivity is greatly enhanced, thus reducing the ion beam induced desorption during the analysis of very thin films. The drawback of forward scattering angle is the limited mass separation for target elements. We demonstrate the performance of the technique with the analysis of 25 nm thick NiSi films and atomic layer deposited 6 nm thick HfxSiyOz films on silicon using 3–8 MeV 16O ions as projectiles. In these measurements, a depth resolution of 2 nm was obtained at the surface, while deeper in the film the resolution was limited by multiple scattering. A full composition with detailed impurity analysis can be obtained by combining time-of-flight forward-scattering spectrometry (TOF-FS) and low energy TOF–ERDA measurements.
- Published
- 2006
- Full Text
- View/download PDF
39. Ion-implantation issues in the formation of shallow junctions in germanium
- Author
-
Trudo Clarysse, D De Jaeger, Alessandra Satta, Koen Martens, I. Hoflijk, Tom Janssens, Eddy Simoen, Marc Meuris, Wilfried Vandervorst, Bert Brijs, A. Benedetti, and Antonio D'Amore
- Subjects
Materials science ,Dopant ,business.industry ,Annealing (metallurgy) ,Mechanical Engineering ,chemistry.chemical_element ,Germanium ,Nanotechnology ,Dopant Activation ,Condensed Matter Physics ,Laser ,law.invention ,Ion implantation ,chemistry ,Mechanics of Materials ,law ,Optoelectronics ,General Materials Science ,Rapid thermal annealing ,business ,Sheet resistance - Abstract
This paper provides an overview of the current state-of-the-art in the formation of shallow junctions in germanium by ion implantation, covering the issues of dopant activation, diffusion and defect removal. As will be shown, for the case of p + implantations, the application of rapid thermal annealing (RTA) to B implants yields good sheet resistance values, corresponding with activation levels well above the maximum solid solubility. A further improvement can be achieved by the use of a Ge pre-amorphization implant (PAI), which also removes the stable extended defects observed after high-dose B implantations. It will be shown that the formation of shallow n + junctions is a more challenging field due to the rather low dopant solubilities and high diffusivities. However, encouraging results will be reported on the application of laser and flash-lamp annealing of P implantations in Ge. Also point-defect engineering is shown to be successful in controlling the junction formation.
- Published
- 2006
- Full Text
- View/download PDF
40. Irradiation-induced damage in porous low-k materials during low-energy heavy-ion elastic recoil detection analysis
- Author
-
André Vantomme, Simone Giangrandi, Timo Sajavaara, Hugo Bender, Wilfried Vandervorst, Bert Brijs, and Francesca Iacopi
- Subjects
Elastic recoil detection ,Nuclear and High Energy Physics ,Ion beam ,Infrared ,Chemistry ,Analytical chemistry ,Irradiation ,Fourier transform infrared spectroscopy ,Thin film ,Spectroscopy ,Instrumentation ,Beam (structure) - Abstract
With the implementation of time-of-flight elastic recoil detection (ToF-ERD) for the analysis of thin films with high depth resolution using a standard ‘low-energy’ accelerator, routine application of ERD in semiconductor technology becomes possible. In case of irradiation-sensitive materials, like organosilicate low- k films, the energetic incident beam damages the sample during the measurement, resulting in loss of the lighter elements and, as a consequence, altering the sample composition. The ion beam induced damage is investigated for 19 F, 35 Cl, 63 Cu, 79 Br and 127 I beams at energies of 6–16 MeV and typical fluences for ERD analysis. By means of Fourier transform infrared (FTIR) spectroscopy a direct correlation between elemental losses and molecular broken bonds is obtained. The H losses can be described by the bulk molecular release model, with the associated release cross section for H linearly dependent on the energy deposited by the primary beam in the film.
- Published
- 2006
- Full Text
- View/download PDF
41. The analysis of a thin SiO2/Si3N4/SiO2 stack: A comparative study of low-energy heavy ion elastic recoil detection, high-resolution Rutherford backscattering and secondary ion mass spectrometry
- Author
-
Thierry Conard, Wilfried Vandervorst, Andreas Bergmaier, Günther Dollinger, Kuniko Kimura, Simone Giangrandi, Bert Brijs, Kai Arstila, Tom Janssens, André Vantomme, Timo Sajavaara, and Kaoru Nakajima
- Subjects
ERDA ,Nuclear and High Energy Physics ,Range (particle radiation) ,Resolution (mass spectrometry) ,Chemistry ,business.industry ,thin film analysis ,Analytical chemistry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Rutherford backscattering spectrometry ,01 natural sciences ,Secondary ion mass spectrometry ,Elastic recoil detection ,light elements ,Stack (abstract data type) ,microelectronics ,0103 physical sciences ,Microelectronics ,Thin film ,Atomic physics ,010306 general physics ,0210 nano-technology ,business ,Instrumentation - Abstract
The analysis of thin films in the range of 10 nm and less has become very important in microelectronics. The goal of this article is an evaluation of low-energy TOF-ERDA (time-of-flight elastic recoil detection analysis) in comparison with low-energy SIMS (secondary ion mass spectrometry) and HRBS (high-resolution Rutherford backscattering spectrometry), using a thin SiO 2 /Si 3 N 4 /SiO 2 stack as a test vehicle. Comparisons are made on the depth resolution, its loss as a function of depth and the quantification accuracy.
- Published
- 2006
- Full Text
- View/download PDF
42. Observation of the interfacial layer in HfO2(10 nm)/Si by high-resolution RBS in combination with grazing angle sputtering
- Author
-
Kenji Kimura, Motofumi Suzuki, Bert Brijs, W. Sakai, and Kaoru Nakajima
- Subjects
Nuclear and High Energy Physics ,Materials science ,Resolution (electron density) ,Analytical chemistry ,Ion ,Sputtering ,high-resolution RBS ,Trailing edge ,depth resolution ,Wafer ,Irradiation ,grazing angle sputtering ,Spectroscopy ,Instrumentation ,Layer (electronics) ,HfO2 - Abstract
A Si(0 0 1) wafer with a HfO 2 layer of 10 nm thickness prepared by atomic layer CVD, is irradiated by 1 keV Xe + ions at a grazing angle of 15°. The sample is measured in situ using high-resolution Rutherford backscattering spectroscopy. With removing a part of HfO 2 layer by the grazing angle sputtering, the observed trailing edge of Hf signal becomes sharper, indicating an improvement of the depth resolution at the HfO 2 /Si interface. After removal of a part of the HfO 2 layer (∼7 nm), the effective depth resolution becomes more than two times better than that before sputtering and the existence of the SiO x interface layer is clearly seen.
- Published
- 2006
- Full Text
- View/download PDF
43. Rare-Earth Metal Scandate High-k Layers
- Author
-
Olivier Richard, Jürgen Schubert, Tassilo Heeg, Valeri Afanas'ev, Thomas Witters, Stefan De Gendt, Martin R. Wagner, Bert Brijs, Michel Houssa, Matty Caymax, Hugo Bender, and Chao Zhao
- Subjects
Metal ,Materials science ,visual_art ,Rare earth ,visual_art.visual_art_medium ,Analytical chemistry ,High-κ dielectric - Abstract
Ternary rare-earth metal scandate layers deposited using pulsed laser deposition (PLD) were studied as dielectrics for high-k applications. GdScO3, DyScO3 and LaScO3 blanket layers with different nominal thicknesses were deposited directly on 2-inch Si (100) substrates for physical characterizations and 2-inch substrates with a lateral SiO2 isolation structure, for capacitor formation with wet-etched electrodes. High- temperature X-ray diffraction (HT-XRD) and TEM reveal that DyScO3 and GdScO3 remain amorphous up to 1000C. The as- deposited DyScO3 layer on both 1nm chemical SiO2 and HF-last surface of Si shows good interface properties as revealed by C- V curves. The leakage current through the layers is comparable to or even lower than that through HfO2 layers of the same EOT. Material properties show sensitivity to annealing conditions.
- Published
- 2006
- Full Text
- View/download PDF
44. Growth Studies and Reaction Mechanism of the Atomic Layer Deposition of Hafnium Oxide
- Author
-
Matty Caymax, Lars-Ake Ragnarsson, Bert Brijs, Erik Sleeckx, David P. Brunco, Stefan De Gendt, Thierry Conard, Sven Van Elshocht, Marc Heyns, and Annelies Delabie
- Subjects
Reaction mechanism ,Atomic layer deposition ,Materials science ,Chemical engineering ,Hafnium oxide - Abstract
The implementation of HfO2 gate dielectrics in sub-45 nm devices requires optimization of nanometer-thin HfO2 layers, deposited e.g. by Atomic Layer Deposition (ALD). In this work, we optimize the ALD conditions such as precursor pulse time and deposition temperature for HfO2 layers with physical thickness below 2 nm. Additionally, we investigate intermediate treatments in the ALD reaction cycle, such as exposure to gas phase moisture or remote plasma at low temperature and thermal anneals. Such intermediate treatments affect both growth-per- cycle (GPC) and impurity content of the HfO2 layers. The impact of these process modifications allows a better understanding of the reaction mechanisms in the HfCl4/H2O ALD process. Improvements in growth behavior result in better electrical performance, e.g. better scalability of Equivalent Oxide Thickness (EOT) and reduced leakage current as compared to the conventional process indicating better HfO2 film quality.
- Published
- 2006
- Full Text
- View/download PDF
45. Study of thermal stability of nickel silicide by X-ray reflectivity
- Author
-
O. Chamirian, Alain M. Jonas, Jorge A. Kittl, Bert Brijs, Anne Lauwers, Karen Maex, Wilfried Vandervorst, Youssef Travaly, M. Van Hove, and Timo Sajavaara
- Subjects
Chemistry ,Analytical chemistry ,Condensed Matter Physics ,Rutherford backscattering spectrometry ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,X-ray reflectivity ,Elastic recoil detection ,Condensed Matter::Materials Science ,chemistry.chemical_compound ,Transmission electron microscopy ,X-ray crystallography ,Silicide ,Thermal stability ,Electrical and Electronic Engineering ,Sheet resistance - Abstract
The thermal stability of Ni silicide, in comparison to the more conventionally used Co silicide, is studied by X-ray reflectivity. These data were complemented by sheet resistance measurements, transmission electron microscopy, time-of-flight Rutherford backscattering spectrometry, X-ray diffraction and time-of-flight elastic recoil detection analysis.
- Published
- 2005
- Full Text
- View/download PDF
46. Ni fully silicided gates for 45nm CMOS applications
- Author
-
Muriel de Potter, Tom Schram, Geoffrey Pourtois, Karen Maex, Jorge A. Kittl, Christa Vrancken, Anabela Veloso, K.G. Anil, M. A. Pawlak, Mark J. H. van Dal, Caroline Demeurisse, Bert Brijs, and Anne Lauwers
- Subjects
Diffraction ,Materials science ,Dopant ,Fermi level ,Analytical chemistry ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,symbols.namesake ,chemistry ,Rapid thermal processing ,Silicide ,Electrode ,symbols ,Work function ,Electrical and Electronic Engineering ,Layer (electronics) - Abstract
The Ni silicide phases and morphology in Ni fully silicided gates was investigated for varying deposited Ni to Si thickness ratios and rapid thermal processing conditions. The presence of NiSi"2, NiSi, Ni"3Si"2, Ni"2Si, Ni"3"1Si"1"2 and Ni"3Si as predominant phases was observed for increasing Ni to Si thickness ratios. In most samples, typically two of these phases were detected by X-ray diffraction. No secondary phases were detected on Ni"3Si samples (Ni to Si thickness ratio ~1.7). For samples targeting NiSi as gate electrode, RBS and TEM analysis confirmed a layered structure with NiSi at the interface and a Ni-rich silicide layer (Ni"2Si, Ni"3Si"2) on top. Process conditions were determined for the formation of gate electrodes for NiSi, Ni"2Si and Ni"3Si. Only small changes in flat-band voltage or work function were found between these phases on SiO"2 or SiON for undoped samples. While significant changes in work function with dopants were observed for NiSi on SiO"2, little or no effects were found for NiSi on HfSiON (suggesting Fermi-level pinning) and for Ni"2Si on SiO"2. An increase of >300mV was found from NiSi to Ni"3Si on HfSiON, suggesting unpinning of the Fermi level with the Ni-rich silicide.
- Published
- 2005
- Full Text
- View/download PDF
47. Defect Removal, Dopant Diffusion and Activation Issues in Ion-Implanted Shallow Junctions Fabricated in Crystalline Germanium Substrates
- Author
-
Alessandra Satta, Alessandro Benedetti, Trudo Clarysse, Bert Brijs, Ilse Hoflijk, Caroline Demeurisse, Tom Janssens, Eddy Simoen, Wilfried Vandervorst, Corneel Claeys, and Marc Meuris
- Subjects
Range (particle radiation) ,Materials science ,Dopant ,Analytical chemistry ,chemistry.chemical_element ,Germanium ,Dopant Activation ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Ion ,Ion implantation ,chemistry ,General Materials Science ,Diffusion (business) ,Layer (electronics) - Abstract
The formation of shallow junctions in germanium substrates, compatible with deep submicron CMOS processing is discussed with respect to dopant diffusion and activation and damage removal. Examples will be discussed for B and Ga and for P and As, as typical p- and n-type dopants, respectively. While 1 to 60 s Rapid Thermal Annealing at temperatures in the range 400-650oC have been utilized, in most cases, no residual extended defects have been observed by RBS and TEM. It is shown that 100% activation of B can be achieved in combination with a Ge pre-amorphisation implant. Full activation of a P-implant can also be obtained for low-dose implantations, corresponding with immobile profiles. On the other hand, for a dose above the threshold for amorphisation, a concentration-enhanced diffusion of P occurs, while a lower percentage of activation is observed. At the same time, dose loss by P out-diffusion occurs, which can be limited by employing a SiO2 cap layer.
- Published
- 2005
- Full Text
- View/download PDF
48. Characterization of high and low k dielectrica using low-energy Time of Flight Elastic Recoil Detection
- Author
-
Simone Giangrandi, Bert Brijs, Wilfried Vandervorst, Kai Arstila, André Vantomme, and Timo Sajavaara
- Subjects
010506 paleontology ,Resolution (electron density) ,Analytical chemistry ,Low-k dielectric ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,Elastic recoil detection ,Time of flight ,chemistry.chemical_compound ,Low energy ,Silicon nitride ,chemistry ,Electrical and Electronic Engineering ,0210 nano-technology ,0105 earth and related environmental sciences ,High-κ dielectric - Abstract
A low-energy Time of Flight Elastic Recoil Detection set-up has been developed for the characterization of ultrathin films, e.g. high and low k dielectrica. The performance is demonstrated by sample analysis of low k materials as they normally contain H, C, O, and Si. The excellent depth resolution is shown on a 6 nm HfSiO and a multistack of 6 nm SiO2, 6 nm Si3N4, 2 nm SO2 on top of Si.
- Published
- 2005
- Full Text
- View/download PDF
49. Surface Preparation Techniques for High-k Deposition on Ge Substrates
- Author
-
Olivier Richard, Bert Brijs, Bart Onsia, Matty Caymax, Jan Van Steenbergen, Annelies Delabie, Marc Meuris, Chao Zhao, Thierry Conard, Sven Van Elshocht, Marc Heyns, and Riikka L. Puurunen
- Subjects
Atomic layer deposition ,Materials science ,Chemical engineering ,chemistry ,Surface preparation ,chemistry.chemical_element ,General Materials Science ,Germanium ,Metalorganic vapour phase epitaxy ,Condensed Matter Physics ,Deposition (chemistry) ,Atomic and Molecular Physics, and Optics ,High-κ dielectric - Published
- 2005
- Full Text
- View/download PDF
50. The future of high-K on pure germanium and its importance for Ge CMOS
- Author
-
G. Raskin, Matty Caymax, Chao Zhao, Bart Onsia, Marc Heyns, Serge Biesemans, B. De Jaeger, Olivier Richard, Paul Mertens, Wilfried Vandervorst, J. Van Steenbergen, P. Mijlemans, Riikka L. Puurunen, M. Meuris, S. Van Elshocht, G. Winderickx, S. Kubicek, E. Van Moorhem, Peter Verheyen, Michel Houssa, Annelies Delabie, Bert Brijs, I. Teerlinck, T. Chiarella, Tom Schram, Thierry Conard, and S. De Gendt
- Subjects
Materials science ,Dopant ,business.industry ,Mechanical Engineering ,chemistry.chemical_element ,Germanium ,Dielectric ,Chemical vapor deposition ,Condensed Matter Physics ,chemistry ,Mechanics of Materials ,Optoelectronics ,General Materials Science ,Metalorganic vapour phase epitaxy ,business ,Metal gate ,Layer (electronics) ,High-κ dielectric - Abstract
A comparison between atomic layer chemical vapor deposition (ALCVD) and metal organic chemical vapor deposition (MOCVD) HfO2 layers on Ge indicate that ALCVD layers have some improved capacitor characteristics. An NH3 pre-treatment was essential to obtain MOS C–V characteristics for the deposited HfO2 layer. We also report for the first time, deep sub-micron Ge pFETs made in a silicon-like process flow with a directly etched metal gate stack on a HfO2 dielectric. The results indicate that for improving Ge devices, more understanding on the dopant diffusion control and the reduction of interface state density will be necessary.
- Published
- 2005
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.